JOURNAL OF ELECTRONIC MATERIALS
ABSTRACTS
Volume 25, Number 3, March 1996

This Month Featuring: Proceedings of the symposium on Advances in Indium Phosphide, Related Materials and Processing Part I: Epitaxy and Bulk. View March 1996 Table of Contents.

SPECIAL ISSUE PAPERS

Foreward
Part I: Epitaxy and Bulk
Hokkaido University in the city of Sapporo was host to the 7th International Conference on Indium Phosphide and Related Materials (IPRM'95) held in Japan for the first time. On this beautiful northern island of Hokkaido, no detail was too small to escape the scrutiny of the IPRM'95 organizing committees. Every event from technical to social was planned with precision and thoughtfulness. English speaking, university-based guides at times outnumbered touring companions. Without reservation, the technical quality of the contributed and invited papers was excellent, an indicator of the stature of IPRM as a major, full spectrum, III-V forum. A basic premise of this conference is to offer its delegates the entire food chain of technology from bulk to devices, including access to the commercial materials and equipment suppliers so crucial to III-V basic research and product development. In this first of two special issues, materials-related papers from the conference representing the areas of epitaxial and bulk growth are presented. Part II will highlight processing and characterization.

Epitaxy is the primary tool for building devices in advanced III-V technology. Its research, therefore, continues to dominate as a major topical area, comprising about one third of the total contributed papers at IPRM. Advances in the controllability, uniformity, planarization, and formation of smooth edges and vertical surfaces using selective growth techniques received the most attention from this year's epitaxial delegates. They reported growth techniques which incorporate partly masked and nonplanar substrates as part of the regrowth process. Quantum wire and dot formation stood out as a prominent though problematic epitaxial research topic while strain-compensated multiple quantum well structures offer additional degrees of freedom for band-engineering for unique device concepts.

While the number of researchers is small, bulk remains an important topic since it provides the foundation for all other advances in III-V technology. One primary goal of this group is to achieve stable, SI material. Several affiliations reported the reproducible preparation of lightly Fe-doped/undoped semi-insulating InP. A new model based on the complex defect, In-vacancy-hydrogen has been proposed as the mechanism of semi-insulating behavior. A variety of characterization/qualification techniques were demonstrated on three inch diameter InP substrates from various manufacturers, which are expected to replace two inch diameter substrates in the near future. Advances in a multicomponent zone melting method have been applied as a crystal growth technique, which has high potential for growing bulk ternary InGaAs and other III-V bulk crystals. Foreseeing the requirements for higher quality and larger diameter substrates being demanded due to the development of the multi-media era, it can be concluded that as a whole, researchers in the bulk area will remain active at a variety of institutions across the globe.

Joe Lorenzo
Rome Laboratory
Optoelectronic Components Research
80 Scott Road
Hanscom AFB, MA 01731

Hajime Asahi
Osaka University
Institute of Scientific and Industrial Research
8-1, Mohogaoka, Ibaraki
Osaka 567, Japan

Osamu Wada
Fujitsu Laboratories Ltd.
10-1 Morinisato-Wakamiya
Atsugi 243-01, Japan

Hideki Hasegawa
Research Center for Interface Quantum Electronics
Hokkaido University
N13W8, Kita-ku
Sapporo 060, Japan
Guest Editors

Crystal Anomaly at the Center of S Doped InP Wafers Grown by the LEC Method
Y. IGUCHI, T. IWASAKI, and N. YAMABAYASHI
Opto-Electronics R&D Laboratories, Sumitomo Electric Industries, Ltd., 1-1-3, Shimaya, Konohana-ku, Osaka, 554, Japan.

KEY WORDS
Dark current, double crystal x-ray topography, InP, liquid encapsulated Czochralski (LEC) method, PIN-photodiode, photoluminescence, rocking curve
Crystal quality of 2" ø S doped InP substrates grown by the conventional liquid encapsulated Czochralski method was studied by the photoluminescence mapping technique and double crystal x-ray diffraction method. Dark currents of InGaAs/InP PIN-photodiodes (PIN-PDs) fabricated on them showed the existence of an anomaly at the center of the substrate. Photoluminescence intensity abruptly decreased at the center of the substrate. An abnormal curvature of the lattice plane was found at the center of the substrate by the double crystal x-ray diffraction measurement. We discuss the correlation between crystal quality of the substrate and dark currents of PIN-PDs.

Electrical Properties of the Hydrogen Defect in InP and the Microscopic Structure of the 2316 cm-1 Hydrogen Related Line
F.X. ZACH,1 E.E. HALLER,1 D. GABBE,2 G. ISELER,3 G.G. BRYANT,4 and D.F. BLISS4
1--Lawrence Berkeley Laboratory, Berkeley, CA 94720. 2--Parke Mathematical Laboratories, Lowell, MA 01854. 3--MIT Lincoln Laboratory, Lexington, MA 02173. 4--U.S. Air Force Rome Laboratory, Hanscom AFB, MA 01731.

KEY WORDS
Deuterium, hydrogen, InP bulk growth
We have studied the microscopic structure of a hydrogen related defect by measuring its vibrational IR absorption at 2315.6 cm-1 in bulk InP crystals doped with deuterium. In contrast to the spectrum observed in nominally undoped samples (with only hydrogen present), the 2315.6 cm-1 line in these samples containing both hydrogen and deuterium is split into at least three components approximately 0.5 cm-1 apart. This can be explained if the defect contains more than one hydrogen atom; the additional lines are caused by mixed vibrational modes containing various combinations of hydrogen and deuterium. We present evidence that the formation of defect-hydrogen complexes leads to creation of a shallow intrinsic donor which can be annihilated under certain annealing conditions.

Fine Structures of Residual Strain Distribution in Fe-Doped InP-(100) Wafers Grown by the LEC and VCZ Methods
MASAYUKI FUKUZAWA and MASAYOSHI YAMADA
Department of Electronics and Information Science, Kyoto Institute of Technology, Matsugasaki, Sakyo-ku, Kyoto 606, Japan.

KEY WORDS
InP, infrared polariscope, liquid-encapsulated Czochralski (LEC) method, residual strain, vapor pressure controlled Czochralski (VCZ) method
By using a high-spatial-resolution scanning infrared polariscope, in-plane components of residual strain have been characterized quantitatively in 2" ø wafers of Fe-doped InP(100) single crystals grown by the liquid-encapsulated Czochralski (LEC) and the vapor pressure controlled Czochralski (VCZ) methods. The two-dimensional distribution maps of LEC-grown wafers reveal characteristic fine structures such as slip-like patterns originated from crystallographic glides during the crystal growth process, highly strained spots and filaments due to inclusions or voids inside the wafer, or due to scratches on the surface. The slip-like patterns are seldom observed in the VCZ-grown wafers. The residual strain value averaged over the whole region of wafer is also examined, together with etch pit density and resistivity, as a function of the solidified fraction. It is found that the residual strain in the Fe-doped InP crystals grown by the LEC and VCZ methods mainly depends on the thermal stress during the growth process rather than on the impurity-hardening effect of Fe.

Study on Microscopic Defects in Fe-Doped InP Single Crystals
K. KOHIRO,1 R. HIRANO,2 and O. ODA1
1--Japan Energy Corporation, Electronic Materials and Components Laboratories, 3-17-35, Niizo-Minami, Toda, Saitama, Japan. 2--Japan Energy Corporation, Advanced Materials Research Center, 187-4, Usuba, Hanakawa, Kitaibaraki, Ibaraki, Japan.

KEY WORDS
Defects in InP, Fe-doped InP, laser scattering tomography (LST), LEC InP growth
Effect of crystal growth conditions on the density of microscopic defects, observed on polished Fe-doped InP LEC single crystal wafers, has been investigated by an interference contrast microscope and a laser scattering tomography (LST) system. It was found that microscopic defects have no correlation with dislocations. Crystal rotation speed affects the density of microscopic defects. In addition, the density depends on the time that the InP melt is held in the molten state before crystal growth. On the other hand, it was found that the H2O concentration in B2O3 has no correlation with the generation of microscopic defects. The relationship between the microscopic defects and the stoichiometry of grown crystals was investigated by coulometric titration analysis. Since the density of microscopic defects is reduced as the indium concentration decreases, it is speculated that their origin is indium or indium oxide.

Reduction of Dislocation Densities in InP Single Crystals by the LEC Method Using Thermal Baffles
R. HIRANO1 and M. UCHIDA2
1--Japan Energy Corporation, Advance Materials Research Center, 187-4, Usuba, Hanakawa, Kitaibaraki, Ibaraki 319-15, Japan. 2--Electrical Materials and Components Research Laboratories, 3-17-35, Niizo-Minami, Toda, Saitama, Japan.

KEY WORDS
InP, low dislocation density, thermal baffle
We have developed a modified liquid encapsulated Czochralski (LEC) method with thermal baffles, by which low dislocation density InP crystals can be grown. In this method, thermal baffles are set on top of the crucible in order to suppress the gas convection and thus to improve the temperature gradient in the LEC furnace. However, the dislocation densities depend not only on the temperature gradient but also on other growth conditions, such as crystal/crucible rotation rates, cooling rates, and the thickness of the pBN crucible. Since the rotation rate affects the solid/liquid interface shape, it is an important factor for the reduction of dislocation densities. By optimizing these conditions, for Sn and Fe doped InP crystals, average dislocation densities less than 5 x 103 cm-2 can be achieved. Dislocation free (DF) S and Zn doped InP crystals can also be grown if the carrier concentration is more than 3 x 1018 cm-3. The DF crystals become rectangular in shape.

Effect of Annealing Conditions on the Uniformity of Undoped Semi-Insulating InP
K. KAINOSHO, M. OHTA, M. UCHIDA, M. NAKAMURA, and O. ODA
Japan Energy Corporation, Materials and Components Laboratories, 3-17-35, Niizo-Minami, Toda, Saitama, 335 Japan.

KEY WORDS
Annealing, bulk InP, semi-insulating
Recently, it was found that undoped semi-insulating InP can be obtained by high-pressure annealing of high purity materials. The reproducibility and the uniformity was, however, not satisfactory. In the present work, we found that not only Fe concentrations but also Cr and Ni concentrations in annealed wafers were slightly increased during annealing. Since it seems that the origin of the contamination was due to the vapor source of red phosphorus, conductive InP with a trace amount of Fe was annealed under low phosphorus vapor pressure in order to reduce the contamination. By preventing the contamination of Cr and Ni, preparation of semi-insulating InP became highly reproducible. The minimum Fe concentration for realizing semi-insulating InP was found to be 1 x 1015cm-3. It was also found that the better resistivity uniformity can be obtained at higher annealing temperatures.

Multicomponent Zone Melting Growth of Ternary InGaAs Bulk Crystal
T. SUZUKI, K. NAKAJIMA, T. KUSUNOKI, and T. KATOH
Fujitsu Laboratories Ltd., 10-1 Morinosato-Wakamiya, Atsugi 243-01, Japan.

KEY WORDS
InGaAs, multicomponent zone melting growth, photoluminescence (PL), ternary bulk crystal
InxGa1-xAs crystals with x = 0.25-0.08 have been successfully grown on GaAs seeds by a method of multicomponent zone melting growth. Its alloy composition is found to be controlled by the growth temperature. Within an ingot, a good uniformity in the alloy composition along the direction normal to the growth is also achieved. The alloy composition gradually changes along the growth direction in the ingot, and this change is well explained by a temperature profile in the growth furnace.

Mesoscopic Nonuniformity of Wafer-Annealed Semi-Insulating InP
G. HIRT,1D. WOLF,1 B. HOFFMANN,2 U. KRETZER,2 G. KÜHNEL,3 A. WOITECH,1 D. ZEMKE,1 and G. MÜLLER1
1--Institut für Werkstoffwissenschaften (LS 6), Universität Erlangen-Nürnberg, Martensstr. 7, 91058 Erlangen, Germany. 2--Freiberger Elektronikwerkstoffe, FEW, 09584 Freiberg/Sachsen, Germany. 3--Institut für Experimentelle Physik, TU-Bergakademie Freiberg, Silbermannstr. 1, 09596 Freiberg/Sachsen, Germany.

KEY WORDS
Annealing, bulk, Fe-doped InP, mesoscopic nonuniformity, point contact mapping, scanning photoluminescence (PL)
We have analyzed the mesoscopic uniformity of as-grown and annealed low Fe-doped InP-wafers grown by different methods (LEC/VGF). Both by scanning photoluminescence measurements and by high resolution point contact mappings corresponding inhomogeneities on a typical scale of 50-70 µm have been observed, showing that most probably the Fe-distribution is nonuniform. By comparison with the distribution of etch-pits, a tentative model for their creation is discussed.

Improved Selective Growth of InP Around Dry-Etched Mesas by Metalorganic Chemical Vapor Deposition at Low Growth Temperature
M. TAKEMI, T. KIMURA, T. MIURA, K. GOTO, Y. MIHASHI, and S. TAKAMIYA
Optoelectronic & Microwave Devices Laboratory, Mitsubishi Electric Corporation, 4-1 Mizuhara, Itami, Hyogo 664, Japan.

KEY WORDS
Dry-etched mesa, high-speed rotating-susceptor MOCVD, InP, selective embedding growth
A comparative study has been carried out regarding selective embedding growth of InP by metalorganic chemical vapor deposition (MOCVD) around dry-etched mesas, using two types of reactors: a conventional horizontal type and a high-speed rotating-susceptor type. In the case of the conventional horizontal-type MOCVD, overgrowth on the mask was observed when the growth temperature was low (600°C). On the other hand, an almost planar grown surface without such overgrowth was achieved by using the high-speed rotating-susceptor MOCVD for a wide range of growth temperatures, especially even at a low growth temperature of 580°C. Regarding the high-speed rotating-susceptor MOCVD, we have also investigated the effects of dopants on the growth behaviors and have found a remarkable difference between n-type S-doped and p-type Zn-doped InP in the growth behaviors. The mechanism for suppressing overgrowth in case of the high-speed rotating-susceptor MOCVD, as well as the cause for the different effects between the dopants, are discussed.

InAlGaAs Selective MOVPE Growth with Bandgap Energy Shift
T. TAKEUCHI, M. TSUJI, K. MAKITA, and K. TAGUCHI
Opto-electronics Research Laboratories NEC Corporation, 34 Miyukigaoka, Tsukuba-shi, Ibaraki, Japan.

KEY WORDS
Bandgap controlling, InAlGaAs, metalorganic vapor phase epitaxy (MOVPE), selective growth
We studied the bandgap energy shift by varying the SiO2 mask width in selective MOVPE growth of InAlGaAs with almost no polycrystals on the masks. We found that the photoluminescence (PL) peak shifts toward the longer wavelength with wider mask width and narrower mesa width, where the In content is enhanced, and observed a maximum PL peak wavelength shift of 170 nm.

Properties of InAsxP1-x Layer Formed by P-As Exchange Reaction on (001)InP Surface Exposed to As4 Beam
B.X. YANG, L. HE, and H. HASEGAWA
Research Center for Interface Quantum Electronics and Graduate School of Electronics and Information Engineering, Hokkaido University, N13, W8, Sapporo 060, Japan.

KEY WORDS
Exchange reaction, gas source molecular beam epitaxy (GSMBE), InAs/InP quantum wells, molecular beam epitaxy (MBE), photoluminescence (PL), scanning tunneling microscopy (STM), x-ray diffraction (XRD)
The P-As exchange reaction on InP surface exposed to As4 beam was studied using photoluminescence (PL) and x-ray diffraction measurements as well as ultra-high vacuum scanning tunneling microscopy observation. It was found that as high as 90-95% of P can be exchanged by As and that the average depth of exchange reaction increases with the increase of As exposure time, being as deep as 5.5 ML for a long exposure. The splitting of PL peak takes place when the reaction depth exceeds 2 ML and the number of the split subpeaks increases with the increase of As exposure time. The PL peak splitting originates from the fluctuation of well thickness, caused by formation of InAs islands for strain relief during the exchange reaction.

MOVPE Growth of Strained InGaAs/InAlAs MQWs for a Polarization-Insensitive Electroabsorption Modulator
S. KONDO, K. WAKITA, Y. NOGUCHI, N. YOSHIMOTO, M. NAKAO, and K. NAKASHIMA
NTT Optoelectronics Laboratories, 3-1, Morinosato, Wakamiya, Atsugi, Kanagawa 243-01, Japan.

KEY WORDS
InGaAs/InAlAs, metalorganic vapor phase epitaxy (MOVPE), modulator, multi-quantum well (MQW), polarization-insensitive, strained MQW
Metalorganic vapor phase epitaxial growth of a strained InGaAs/InAlAs multiquantum well (MQW) structure was carried out for optical electroabsorption modulators. A high-quality MQW layer can be grown by introducing compressive strain into InAlAs barrier layers against tensile-strained well layers. We have also demonstrated strained InGaAs/InAlAs MQW electroabsorption modulators with polarization insensitivity by using these layers and have obtained a high-quality modulator with a low driving voltage of 1.7 V and a wide 3-dB bandwidth of over 20 GHz.

Temporally Resolved Selective Regrowth of InP Around [110] and [] Mesas
S. LOURDUDOSS, E. RODRÍGUEZ MESSMER, O. KJEBON, and G. LANDGREN
Laboratory of Artificial Semiconductor Materials, Department of Electronics, Royal Institute of Technology, Electrum -229, S-164 40 Kista, Sweden.

KEY WORDS
III-V compounds, InP, patterned substrates, reactive ion etching, selective epitaxy, selective regrowth
Temporally resolved selective regrowth of InP around reactive ion etched [110] and [] directional mesas is studied by hydride vapor phase epitaxy at the growth temperatures of 600, 650, 685, and 700°C. The regrowth profiles are strikingly different depending upon the mesa orientation. The results are interpreted by invoking the difference in the bonding configurations of these mesas as well as the growth facility in a direction leading to the largest reduction of dangling bonds under the growth conditions. Various emerging planes during regrowth are identified and are {hhl} planes with initial values of l/h ¾ 3 but 3 as the planarization is approached. Initial lateral growth defined as the growth away from the mesa at half of its height in the very first minute is a decreasing function of temperature when plotted as Arrhenius curves. Such a behavior is attributed to the exothermicity of the reaction and to an enhanced pyrolysis of PH3 to P2. The lateral growth rate is much larger than that on the planar substrate. This should be taken into account when regrowth of a doped layer (e.g. InP:Fe or InP:Zn) is carried out to fabricate a buried heterostructure device since the dopant concentration can be very much lower than the one optimized on the planar substrates.

Structural and Optical Characterization of InP/GaInP Islands Grown by Solid-Source MBE
A. KURTENBACH,1 C. ULRICH,1 N.Y. JIN-PHILLIPP,2 F. NOLL,1 K. EBERL,1 K. SYASSEN,1 and F. PHILLIPP2
1--Max-Planck-Institut für Festkörperforschung, Heisenbergstr. 1, 70569 Stuttgart, Germany. 2--Max-Planck-Institut für Metallforschung, Heisenbergstr. 1, 70569 Stuttgart, Germany.

KEY WORDS
Atomic force microscopy (AFM), InP/GaInP, photoluminescence (PL), photoreflectance (PR), quantum dots, reflection high energy electron diffraction (RHEED), self-assembled dots, solid-source molecular beam epitaxy (MBE), transmission electron microscopy (TEM)
We report on the growth of InP/GaInP islands on GaAs substrates by solid-source molecular beam epitaxy. It is shown by reflection high energy electron diffraction and atomic force microscopy that a rapid change from a two-dimensional to a three-dimensional growth mode occurs at about nominally 1.5 monolayers (MLs) InP. Transmission electron microscopy measurements demonstrate the coherent incorporation of InP islands in an GaInP matrix for nominally 2.5 MLs InP. The energy of the InP photoluminescence (PL) shifts to lower energies (100 meV) when the growth interruption time between the island and cap layer growth is increased from 1 to 300 s in case of nominally 3 MLs InP. Simultaneously, an increase of the PL linewidth is observed from 30 to 60 meV. Room temperature photoreflectance measurements on samples with various InP thickness have been performed. Compared to PL measurements, an additional feature in the photoreflectance spectra is observed for samples with more than 7 MLs InP, which is attributed to a transition between excited electron and hole states of the islands.

Selective MOVPE Growth of InGaAsP and InGaAs Using TBA and TBP
Y. SAKATA,1 T. NAKAMURA,2 S. AE,2 T. TERAKADO,2 Y. INOMOTO,1 T. TORIKAI,2 and H. HASUMI1
1--ULSI Device Development Laboratories, NEC Corporation, 2-9-1 Seiran, Ohtsu, Shiga, 520, Japan. 2--KANSAI Electronics Research Laboratory, NEC Corporation, 2-9-1 Seiran, Ohtsu, Shiga, 520, Japan.

KEY WORDS
Selective area growth, TBA/TBP, vapor phase lateral diffusion
Selective metalorganic vapor phase epitaxial (MOVPE) growth of InGaAs(P) using tertiarybutylarsine (TBA) and tertiarybutylphosphine (TBP) was systematically investigated for the first time. Selective growth was successfully achieved and the growth structure was as excellent as the structure using AsH3/PH3. Vapor phase lateral diffusion of group-III species, which is the major mechanism of selective MOVPE, can be easily controlled over the wide range of V/III ratio with using TBA/TBP. From this feature, we propose the selectively grown multiple quantum well waveguide structures which have excellent bandgap controllability by using TBA/TBP.

High Mobility Ga0.47In0.53As/InP Heterostructure by Atmospheric-Pressure MOVPE Using Cyclopentadienyl Indium
M. USUDA,1 K. SATO,1 R. TAKEUCHI,1 K. ONUMA,2 and T. UDAGAWA2
1--Showa Denko K.K., Chichibu Works, 1505 Shimokagemori, Chichibu, Saitama 369-18, Japan. 2--Showa Denko K.K., Chichibu Research Laboratory, 1505 Shimokagemori, Chichibu, Saitama 369-18, Japan.

KEY WORDS
Cyclopentadienyl indium, GaInAs/InP heterostructure, metalorganic vapor phase epitaxy (MOVPE)
Lattice-matched Ga0.47In0.53As/InP heterostructure was grown by atmospheric-pressure metalorganic vapor phase epitaxy reaction system using monovalent cyclopentadienyl indium. The lattice-matched heterostructure showed electron mobilities of µ300K = 12700 cm2/Vs at ns = 4.2 x 1011 cm-2 and µ77K = 108000 cm2/Vs at ns = 3.9 x 1011 cm-2. The uniformity in electrical properties was measured by Hall element array with 400 µm pitch. Coefficient of variation in electron mobility was 0.18%.

Selective Growth of InP by MOCVD Around Dry-Etched Mesas Having Various Patterns for Photonic Integrated Circuits
K. GOTO, M. TAKEMI, T. MIURA, A. TAKEMOTO, and Y. MIHASHI
Optoelectronic & Microwave Devices Laboratory, Mitsubishi Electric Corporation, 4-1 Mizuhara, Itami, Hyogo 664, Japan.

KEY WORDS
Crystallographic direction, dry-etched mesa, InP, mass-transport, selective embedding growth, waveguide components
We have investigated the selective growth of pnp-InP by metalorganic chemical vapor deposition (MOCVD) around mesas. The mesas were formed by reactive-ion-etching using SiO2 masks having various patterns. The patterns include stripes along different crystallographic orientations, Y-bifurcations, and X-crossings. The behavior of the growth, and that of the mass transport before the growth, were found to depend remarkably on the crystallographic direction of the mesa stripe. The most notable mass transport, which transforms a nearly vertical mesa wall to a sloped one, was observed for a stripe misoriented by about 30° from the [011] direction. The growth was observed to proceed preferentially on the sloped planes, planarizing the crystal surface, for a mesa stripe directed between the [011] and [010] directions. However, for the stripe direction near [], the growth was observed to creep up a nearly vertical plane on the mesa wall, and sloped planes are reluctant to form. Selective growth with sufficient planarity has been obtained for various waveguides including the Y-bifurcations and the X-crossings, which are used as the waveguide components in photonic integrated circuits, when the stripes are almost along the [011] direction.

AlGaAsSb Buffer/Barrier on GaAs Substrate for InAs Channel Devices With High Electron Mobility and Practical Reliability
S. MIYA, S. MURAMATSU, N. KUZE, K. NAGASE, T. IWABUCHI, A. ICHII, M. OZAKI, and I. SHIBASAKI
Electronics Materials & Devices Laboratory, Asahi Chemical Ind. Co., Ltd., 2-1, Samejima, Fuji, Shizuoka, 416 Japan.

KEY WORDS
AlGaAsSb, buffer/barriers, deep quantum well, field effect transistors, Hall elements, InAs, reliability, Sb
InAs/AlGaAsSb deep quantum well was successfully formed on GaAs substrate and examined for two electron devices, Hall elements (HEs), and field-effect transistors (FETs). With a thin buffer layer of 600 nm AlGaAsSb on GaAs substrate, we observed high electron mobility more than 23000 cm2/Vs and extrinsic effective electron velocity of 2.2 x 107 cm/s for a 15 nm thick InAs channel at room temperature. AlGaAsSb lattice matched to InAs was discussed from the view points of insulating property, carrier confinement, and oxidization rate. Reliability data good enough for practical use were also obtained for HEs. We demonstrated AlGaAsSb as a promising buffer/barrier layers for InAs channel devices on GaAs substrate, and we discussed the possible advantages of AlGaAsSb also for InGaAs FETs.

Selective Area Growth of InP by Plasma Assisted Solid-Source Epitaxy
I. ALLER and H.L. HARTNAGEL
Technische Hochschule Darmstadt, Institut für Hochfrequenztechnik, Merckstr. 25, D-64283 Darmstadt, Germany.

KEY WORDS
Hydrogen plasma, indium phosphide, selective area growth, solid source epitaxy
Complete selective area growth of InP could be achieved at standard molecular beam epitaxial growth temperatures by using solid source epitaxy with an additional hydrogen rf-plasma (27 MHz) excited in the reaction chamber. By optimization of the process parameters such as substrate temperature, plasma power, and phosphorus overpressure, mirror-like InP-layers with geometry independent growth rates were grown on SiN-patterned InP substrates without polycrystalline growth on the mask. Since selective growth is also possible in an argon plasma, we conclude that a physical desorption process is the mechanism for selective area growth in this plasma assisted epitaxy method. Furthermore, the selectivity can be controlled by a dc-bias of the substrate, which influences the mean energy of the impinging ions, thereby changing the desorption rate of atoms from the mask.

Molecular Beam Epitaxial Growth of MgZnCdSe on (100) InP Substrates
TOSHIHIRO MORITA, AKIHIKO KIKUCHI, ICHIROU NOMURA, and KATSUMI KISHINO
Department of Electrical and Electronics Engineering, Sophia University, 7-1, Kioi-cho, Chiyoda-ku, Tokyo 102, Japan.

KEY WORDS
Bandgap energy (Eg), electron probe x-ray microanalysis (EPMA), MgZnCdSe, molecular beam epitaxy (MBE), photoluminescence (PL)
Wide-gap II-VI MgZnCdSe quaternary compounds were grown on InP substrates by molecular beam epitaxy, for the first time. Changing the Mg composition (x = 0 to 0.63), various Mgx(ZnyCd1-y)1-xSe lattice-matched to InP were grown. Mirror-like surface morphologies and streaky reflection high energy electron diffraction patterns of MgZnCdSe were obtained. With increased Mg compositions, the band-edge emissions wavelength in photoluminescence spectra was shifted from 572 nm (2.17 eV) to 398 nm (3.12 eV) at 15K. Furthermore, the absolute PL peak intensity increased drastically with increased band-edge emission, being accompanied by a relative decrement in the deep level emission intensities were also observed.

Self-Organization Phenomenon of Strained InGaAs on InP (311) Substrates Grown by Metalorganic Vapor Phase Epitaxy
JIRO TEMMYO,1 ATSUO KOZEN,1 TOSHIAKI TAMAMURA,1 RICHARD NÖTZEL,2 TAKASHI FUKUI,3 and HIDEKI HASEGAWA3
1--NTT Opto-electronics Laboratories, 3-1 Morinosato Wakamiya, Atsugi-shi, Kanagawa 243-01, Japan. 2--Research Center of Interface Quantum Electronics, Hokkaido University, W8, N13, Kita, Sapporo, Hokkaido 060, Japan. Present address: Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, D-10117 Berlin, Germany. 3--Research Center of Interface Quantum Electronics, Hokkaido University, W8, N13, Kita, Sapporo, Hokkaido 060, Japan.

KEY WORDS
InGaAs, InP (311) substrates, metalorganic vapor phase epitaxy (MOVPE), self-organization
We have demonstrated that a self-organization phenomenon occurs in strained InGaAs system on InP (311) substrates grown by metalorganic vapor phase epitaxy. This suggests that a similar formation process of nanocrystals exists not only on the GaAs (311)B substrate but also on the InP (311)B substrate. However, the ordering and the size homogeneity of the self-organized nanocrystals are slightly worse than those of the InGaAs/AlGaAs system on the GaAs (311)B substrate. The tensilely strained condition of a InGaAs/InP system with growth interruption in a PH3 atmosphere reveals a surface morphology with nanocrystals even on the InP (100) substrate. It was found that strain energy and high growth temperature are important factors for self-organization on III-V compound semiconductors. Preliminary results indicate that the self-organized nanostructures in strained InGaAs/InP systems on InP substrates exhibit room temperature photoluminescent emissions at a wavelength of around 1.3 µm.

Growth Mode Transition Processes in a GaAs/InP System Studied by Scanning Tunneling Microscopy
SHUNSUKE OHKOUCHI,1 NOBUYUKI IKOMA,2 and MASAO TAMURA2
1--Optoelectronics Technology Research Laboratory (OTL) 5-5 Tohkodai, Tsukuba, Ibaraki 300-26, Japan. Present address: Opto-electronics Research Laboratories, NEC Corporation, 34 Miyukigaoka, Tsukuba, Ibaraki 305, Japan. 2--Optoelectronics Technology Research Laboratory (OTL) 5-5 Tohkodai, Tsukuba, Ibaraki 300-26, Japan.

KEY WORDS
GaAs, heteroepitaxy, InP, island formation, lattice-mismatched system
The growth mode transition processes of GaAs heteroepitaxial growth on an InP substrate were investigated using a scanning tunneling microscopy (STM) multi-chamber system equipped with a molecular beam epitaxy facility. During the initial stage, the growth mode transition from two-dimensional (2D)- to three-dimensional (3D)-island growth occurred with more than 2.0 ML of GaAs deposition onto the InP surface. This 3D-island structure gradually became flat upon increasing the amount of GaAs deposition, and finally recovered to a GaAs 2D structure. Furthermore, an extended dislocation line can be observed in the STM image of the recovered GaAs surface.

Metalorganic Vapor Phase Epitaxy of InP Using the Novel P-Source Ditertiarybutyl Phosphine (DitBuPH)
H. PROTZMANN,1 Z. SPIKA,1 B. SPILL,1 G. ZIMMERMANN,1 W. STOLZ,1 E.O. GÖBEL,1,2 P. GIMMNICH,3 and J. LORBERTH3
1--Materials Sciences Center and Department of Physics, Philipps-University, D-35032 Marburg, Germany. 2--Present address: Physikalisch Technische, Bundesanstalt, D 38116 Braunschweig, Germany. 3--Department of Chemistry and Materials Science Center, Philipps-University, D-35032 Marburg, Germany.

KEY WORDS
InP, novel precursors, metalorganic vapor phase epitaxy (MOVPE)
In this study, the use of a novel phosphorous precursor for low pressure metalorganic vapor phase epitaxy (LP-MOVPE) application has been investigated. Ditertiarybutyl phosphine ((C4H9)2-P-H, DitBuPH) as substitute for the standardly used hydrid gas phosphine (PH3) promises apart from strongly reduced toxicity due to the reduction of P-H bonds, an enhancement in cracking efficiency as well as a reduction in growth temperature. Layer quality has been examined by means of optical and scanning electron microscopy (SEM), temperature-dependent van der Pauw Hall as well as photoluminescence (PL) measurements. Uncompensated n-type InP-layers (1.0 x 1.5 cm-3; 59600 cm2 (Vs)-1 at 77K) are realized using DitBuPH in combination with commercial TMIn. All results are compared with those obtained by using PH3 and commercial tertiarybutyl phosphine (TBP) as P-source, respectively.

Growth and Characterization of Heavily Carbon Doped InGaAs Lattice Matched to InP by LP-MOCVD Using Liquid CCl4
KYUSHIK HONG and DIMITRIS PAVLIDIS
Solid-State Electronics Laboratory, Department of Electrical Engineering and Computer Science, The University of Michigan, Ann Arbor, MI 48109-2122.

KEY WORDS
CCl4, carbon doped, InGaAs, metalorganic chemical vapor deposition (MOCVD), thermal annealing
The growth of heavily carbon doped p-InGaAs (~6.5x1019cm-3) lattice-matched to InP is reported. Growth is achieved by low pressure metalorganic chemical vapor deposition (LP-MOCVD) using all methyl metalorganic sources and liquid CCl4. The impact of growth temperature and CCl4 flow rates on growth rate reduction and alloy compositional change was investigated. Post-growth isothermal and isochronal annealing experiments were performed on the carbon doped InGaAs layers and a quantitative analysis of carrier activation is presented using Hall and secondary ion mass spectroscopy measurements. Reduced self-compensation by carbon displacement from indium to arsenic site, as well as, reduced hydrogen passivation are suggested as possible mechanisms responsible for carrier activation upon thermal annealing.

Highly Controlled InGaAs(P)/InP MQW Interfaces Grown by MOVPE Using TBA and TBP Precursors
T. NAKAMURA, S. AE, T. TERAKADO, T. TORIKAI, and T. UJI
Kansai Electronics Research Laboratory, NEC Corporation, 9-1-2 Seiran, Otsu, Shiga 520 Japan.

KEY WORDS
Abrupt InGaAs/InP MQW interfaces, arsenic contamination, As-P exchange reaction, TBA/TBP
Highly controlled InGaAs/InP MQW interfaces have been realized over two inch wafers by employing tertiarybutylarsine (TBA) and tertiarybutylphosphine (TBP) in place of AsH3 and PH3. This was accomplished by reducing arsenic contamination in the InP layer after InGaAs growth and an As-P exchange reaction at the interfaces.

Chlorine Auto-Doping by Chloride Vapor Phase Epitaxial Growth of InP
T. IWASAKI,1 Y. IGUCHI,1 N. YAMABAYASHI,1 and S. YONEYAMA2
1--Optoelectronics R&D, Sumitomo Electric Industries, Ltd., 1-1-3, Shimaya 1-chome, Konohana-ku, Osaka, Japan. 2--Optoelectronic Industry and Technology Development Association, 7-5-8, Toyo, Koto-ku, Tokyo, Japan.

KEY WORDS
Auto-doping, carrier concentration, chlorine, donor, epitaxial growth, growth rate, InP, VPE, (111)A, (111)B
Chlorine auto-doping phenomenon was found for the first time in InP epitaxial growth by using a PCl3/InP/H2 system. Chlorine atoms act as a donor in the epitaxial layer and the carrier concentration is dependent on the facet of InP substrate. The carrier concentration of the InP layer on (111)B facet was over 103 times higher than that on (111)A substrate.

REGULAR ISSUE PAPERS

Characteristics and Device Applications of Erbium Doped III-V Semiconductors Grown by Molecular Beam Epitaxy
S. SETHI and P.K. BHATTACHARYA
Solid State Electronics Laboratory, Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, MI 48109-2122.

KEY WORDS
GaAs:Er, molecular beam epitaxy (MBE), photoluminescence
We have studied the properties of molecular beam epitaxially (MBE)-grown Er-doped III-V semiconductors for optoelectronic applications. Optically excited Er3+ in insulating materials exhibits optical emission chiefly around 1.54 µm, in the range of minimum loss in silica fiber. It was thought, therefore, that an electrically pumped Er-doped semiconductor laser would find great applicability in fiber-optic communication systems. Exhaustive photoluminescence (PL) characterization was conducted on several of As-based III-V semiconductors doped with Er, on bulk as well as quantum-well structures. We did not observe any Er-related PL emission at 1.54 µm for any of the materials/structures studied, a phenomenon which renders impractical the realization of an Er-doped III-V semiconductor laser. Deep level transient spectroscopy studies were performed on GaAs and AlGaAs co-doped with Er and Si to investigate the presence of any Er-related deep levels. The lack of band-edge luminescence in the GaAs:Er films led us to perform carrier-lifetime measurements by electro-optic sampling of photoconductive transients generated in these films. We discovered lifetimes in the picosecond regime, tunable by varying the Er concentration in the films. We also found the films to be highly resistive, the resistivity increasing with increasing Er-concentration. Intensive structural characterization (double-crystal x-ray and transmission electron microscopy) performed by us on GaAs:Er epilayers indicates the presence of high-density nanometer-sized ErAs precipitates in MBE-grown GaAs:Er. These metallic nanoprecipitates probably form internal Schottky barriers within the GaAs matrix, which give rise to Shockley-Read-Hall recombination centers, thus accounting for both the high resistivities and the ultrashort carrier lifetimes. Optoelectronic devices fabricated included novel tunable (in terms of speed and responsivity) high-speed metal-semiconductor-metal (MSM) photodiodes made with GaAs:Er. Pseudomorphic AlGaAs/InGaAs modulation doped field effect transistors (MODFETs) (for high-speed MSM-FET monolithically integrated optical photoreceivers) were also fabricated using a GaAs:Er buffer layer which substantially reduced backgating effects in these devices.

Realization of In-Situ Sub Two-Dimensional Quantum Structures by Strained Layer Growth Phenomena in the InxGa1-xAs/GaAs System
J. PAMULAPATI,1,2 P.K. BHATTACHARYA,1 J. SINGH,1 P.R. BERGER,1,3 C.W. SNYDER,4,5 B.G. ORR,4 and R.L. TOBER6
1--Solid State Electronics Laboratory, Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, MI 48109-2122. 2--Present address: U.S. Army Electronics Technolog and Device Laboratory, Fort Monmouth, NJ 07703-500. 3--Present address: Department of Electrical Engineering, University of elaware, Newark, DE 197116-3130. 4--Harrison M. Randall Laboratory of Physics, University of Michigan, Ann Arbor, MI 48109-1120. 5--Present address: AT & T Bell Laboratories, Murray Hill, NJ 07974. 6--U.S. Army Laboratory Command, Army Research Laboratories, 2800 Powder Mill Road, Adelphi, MD 20783-1197.

KEY WORDS
InGaAs/GaAs, reflection high energy electron diffraction (RHEED), scanning tunneling microscopy (STM), strained layer growth
We confirm that as the misfit strain in pseudomorphic epitaxial layer increases, surface thermodynamics controlled growth modes can change from a layer-by-layer to a three-dimensional (3-D) island mode. Both in-situ reflection high energy electron diffraction studies and in-situ scanning tunneling microscopy studies are utilized to demonstrate this transition to 3-D growth. This concept allows one to grow GaAs/InxGa1-xAs/GaAs heterostructures where the electrons in InxGa1-xAs are possibly confined in lower dimensions.

LP-MOCVD Grown (InAs)m(GaAs)m Short Period Superlattices on InP
DAE KON OH,1 KYUNG SOO SUH,1 HEUNGRO CHOO,1 HONG MAN KIM,1 KWANG EUI PYUN,1 HYOUNG-MOO PARK,1 and SAHN NAHM2
1--Semiconductor Technology Division, Electronics and Telecommunications Research Institute, Yusong, P. O. Box 106, Taejon 305-600, Korea. 2--Department of Materials Engineering, Keimyung University, 1000 Sindang-Dong, Dalseo-Gu, Taegu, 704-701, Korea.

KEY WORDS
InAs/GaAs, low pressure metalorganic chemical vapor deposition (LP-MOCVD), short period superlattices
(InAs)m(GaAs)m (1 ¾ m ¾ 12) short period superlattices (SPSs) have been grown on semi-insulated InP substrates with a 200 nm InP cap layer using low pressure metalorganic chemical vapor deposition (MOCVD). According to double crystal x-ray diffraction and transmission electron microscopy results, the critical layer thickness of (InAs)m(GaAs)m SPS was observed to be ~30Å (m = 5). For the SPS below the critical layer thickness, mirror-like surface morphology was found without defects, and strong intensity Fourier transformed photoluminescence (FT-PL) spectra were also obtained at room temperature. The SPS with m = 4 showed a drastic improvement in photoluminescence intensity of order of two compared to an InGaAs ternary layer. However, the SPS with a large value of m (m 6), rough surface was observed with defects, with broad and weak FT-PL spectra. The surface morphology of SPS was greatly affected by the substrate orientation. The SPS with m = 5 was grown on two degree tilted substrate from (100) direction and showed poor surface morphology as compared to the one grown on (100) exact substrate. Moreover, the SPS grown on a (111)B substrate showed a rough triangular pattern with Nomarski optical microscopy. In-situ thermal annealed SPS with m = 4 showed a 18 meV increase in PL peak energy compared to the as-grown sample due to phase separation resulting from thermal interdiffusion.

OMVPE Grown GaInAs:C for HBTs
C. CANEAU, R. BHAT, S. GOSWAMI, and M.A. KOZA
Bellcore, 331 Newman-Springs Rd, Red Bank, NJ 07701-5699.

KEY WORDS
C doping, GaInAs, heterojunction bipolar transistors (HBTs), organometallic vapor phase epitaxy (OMVPE)
We have grown GaInAs:C by low pressure organometallic vapor phase epitaxy and observed that the hole concentration of such layers decreases upon growth of cap layers such as heterojunction bipolar transistor emitter layers. Two mechanisms were found to play a role: one is H repassivation of the CAs acceptors, the other a non-H related decrease in the concentration of CAs acceptors due to C changing site or to the formation of complexes involving CAs. Depending on the growth conditions of the cap layers (temperature, flow of group V sources), one or the other mechanism prevails.

Interband Magneto-Absorption in Narrow-Gap HgTe/CdTe Superlattice Structures
R. SIZMANN, P. HELGESEN, T. COLIN, T. SKAULI, and S. LØVOLD
Norwegian Defence Research Establishment, Division for Electronics, P.O. Box 25, N-2007 Kjeller, Norway.

KEY WORDS
HgTe/CeTe, interband magneto-absorption, superlattice
The use of a magnetic field for interband absorption experiments in the mid-infrared regime can reveal the electronic band structure of HgTe/CdTe superlattices. In high-quality samples pronounced magnetic field-induced absorption peaks are observed in the transmission spectra due to hole to electron Landau level transitions. By extrapolating the excitation energies for such transitions to B = 0, gap energies between several hole and electron subbands can be determined accurately. In addition, we show that interband magneto-absorption provides a sensitive feedback for growth of quantum structures.

Current-Voltage Characteristics and X-Ray Diffraction Study of PdSi1-xGex Schottky Contacts
L. HE,1 Z.Q. SHI,2 and Y.D. ZHENG3
1--Department of Electrical Engineering, Northern Illinois University, DeKalb, IL. 2--Hughes STX Corporation, Greenbelt, MD. 3--Department of Physics, Nanjing University, Nanjing, P.R. China.

KEY WORDS
Current-voltage-temperature (I-V-T), Schottky contacts, Si1-xGex, x-ray diffraction
The electrical characteristics of Pd/p-Si1-xGex Schottky contacts have been investigated. The Schottky contacts were formed by depositing Pd metal on substrates at room temperature (RT = 300K) and at low temperature (LT = 77K). Post annealing was performed in nitrogen atmosphere at 450 and 550°C, respectively, to study the effect of silicide formation on contact characteristics. The current-voltage measurements showed that the barrier height, øB, decreased with the increase of the gemanium composition. The contact post-annealed at 550°C showed a current transport mechanism obviously different from the as-deposited Schottky contacts. Nearly identical characteristics were observed for the low temperature deposited contacts and the room temperature deposited contacts with 550°C post-annealing. They both showed thermionic emission dominated transport mechanism. X-ray diffraction technique was used to characterize the effect of different temperature treatments on the crystal structure. The full width at half maximum of Si1-xGex(400) phase decreased at low temperature deposited sample, while it increased at room temperature deposition.

Hydrogen Etching for Semiconductor Materials in Plasma Doping Experiments
SHU QIN,1 JAMES D. BERNSTEIN,1,2 and CHUNG CHAN1
1--Plasma Science and Microelectronics Laboratory, Department of Electrical and Computer Engineering, Northeastern University, Boston, MA 02115. 2--Current address: Eaton Corporation Semicoinductor Equipment Division, Beverly, MA 01915.

KEY WORDS
Hydrogen etching, plasma doping, poly-Si, Si, SiO2
The etching effects of hydrogen plasma for semiconductor materials including single crystalline silicon, polycrystalline silicon, silicon dioxide, and aluminum in plasma immersion ion implantation (PIII) doping experiments have been investigated. Etching can alter device structure and affect implant profile and dose. The effects of varying different PIII process parameters such as pulse potential, pulse repetition frequency, and substrate temperature are presented. The experimental data show that the spontaneous etching by hydrogen radicals enhanced by ion bombardment is responsible for the etching phenomena that occurs at the material surface. A model is used to calculate the retained implant dose and impurity profile when the etching effect is considered.

Investigation of Strain-Compensated InGaAs(P)/InGaAs(P)/InP Multiple Quantum Well Structures Grown by LP-MOVPE
HAIYAN AN, SHUREN YANG, and SHIYONG LIU
State Key Laboratory on Integrated Optoelectronics, Department of Electronic Engineering, Jilin University, Number 119, Jiefang Road, Changchun, 130023, P.R. China.

KEY WORDS
InGaAs, InGaAsP, low pressure metalorganic vapor phase epitaxy (LP-MOVPE), strain-compensated multiple quantum well (MQW) structures
A controversy exists regarding the effectiveness, in the high strain case, of the strain-compensated InGaAs(P)/InGaAs(P)/InP multiple quantum well (MQW) structures. In this paper, the mechanism of the crystal quality degradation in the high strain case is analyzed. Based on our experiments and analysis, we suggest that the crystal quality degradation is predominately affected by the growth temperature and V/III ratios in the gas phase. We demonstrate that, in the case of high strain in the wells, high quality and stable strain-compensated MQW structures can be grown at relatively low growth temperature and relatively high V/III ratios in the gas phase through decreasing the strain in barriers and increasing the thicknesses of barriers simultaneously to achieve zero net strain.

Deposition of InGaAsP Alloys on GaAs by Low Pressure Metalorganic Vapor Phase Epitaxy: Theory and Experiments
S. PELLEGRINO1,2 and L. VITALI1
1--Alcatel-Telettra Corporate Research Center, via Trento 30, 20059 Vimercate (Mi), Italy. 2--Current address: Alcatel Alsthom Recherche, Route de Nozay, 91460 Marcoussis, France.

KEY WORDS
Deposition conditions, InGaAsP/GaAs, low pressure metalorganic vapor phase epitaxy (LP-OMVPE)
A combined thermodynamic-kinetic approach to the epitaxial deposition of InGaAsP alloys on GaAs substrates is presented. Good agreement with experimental group V solid phase incorporation has been found when neglecting the 2V2 = V4 (V = As,P) vapor phase reactions, while the group III solid phase composition is well described by simple mass-transport limited processes. InGaAsP materials, lattice matched to GaAs, have been grown in a wide composition range toward the ternary limit [In(0.49)Ga(0.51)P]. Furthermore, high quality InGaAsP/GaAs strained quantum wells with a bandgap below that of GaAs are realized for the first time.

High Quality Gate Dielectrics formed by Rapid Thermal Chemical Vapor Deposition of Silane and Nitrous Oxide
VEENA MISRA, XIAOLI XU, BRIAN E. HORNUNG, RICHARD T. KUEHN, DONALD S. MILES, JOHN R. HAUSER, and JIMMIE J. WORTMAN
Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 27695.

KEY WORDS
Electrical properties, gate dielectrics, silicon-based oxides, rapid thermal chemical vapor deposition (RTCVD)
In the present study, we have performed electrical characterization of oxides deposited via rapid thermal chemical vapor deposition using SiH4 and N2O. We have investigated the effect of temperature, pressure, and SiH4 to N2O ratio on the electrical and material properties of as-deposited films. We have found that as-deposited oxides deposited at low temperatures, low pressures, and with a low silane to nitrous oxide ratio of ~0.5% give good material and electrical properties. The as-deposited films are stoichiometric in nature and have high deposition rates. As-deposited films had very low Dit values, high breakdown fields, and excellent subthreshold swing. The leakage currents and metal oxide semiconductor field effect transistor current drive, although lower than thermal oxides, were found to be quite acceptable. We have also investigated the thickness dependence of the films and found that as the film thickness is reduced below 50Å, the reliability improves for all oxides including the silicon-rich deposited oxides.

Surface Passivation of InP/In0.53Ga0.47As Heterojunction Bipolar Transistors for Opto-Electronic Integration
DONG-SU KIM, CHIH-PING CHAO, KIAN BEYZAVI, PAUL E. BURROWS, and STEPHEN R. FORREST
Advanced Technology Center for Photonics and Optoelectronic Materials, Department of Electrical Engineering, Princeton University, Princeton, NJ 08544.

KEY WORDS
Heterojunction bipolar transistors (HBT), InP, optoelectronic integrated circuit (OEIC), surface passivation
We report for the first time, a surface passivation technique for InP/In0.53Ga0.47As heterojunction bipolar transistors that is suitable for optoelectronic integrated circuits. The combination of buffered hydrofluoric acid with high temperature annealing of the surface causes significant increase of the gain at low input currents. Using this technique, transistors were integrated with photodetectors and other optoelectronic devices and had current gains as high as 400 even at nanoampere base currents.

Analysis of Ion Beam Induced Damage and Amorphization of 6H-SiC by Raman Scattering
A. PÉREZ-RODRÍGUEZ,1 Y. PACAUD,2 L. CALVO-BARRIO,1 C. SERRE,1 W. SKORUPA,2 and J.R. MORANTE1
1--E.M.E., Dept. Física Aplicada i Electrònica, Universitat de Barcelona, Avda. Diagonal 645-647, 08028-Barcelona, Spain. 2--Forschungszentrum Rossendorf e.V., Institut für Ionenstrahlphysik und Materialforschung, Postfach 510119, D-01314 Dresden, Germany.

KEY WORDS
6H-SiC, amorphization, damage, ion implantation, Raman scattering, recrystallization
Raman scattering analysis of damaged SiC layers obtained by 200 keV Ge+ ion implantation into 6H-SiC has been performed as a function of the implanted dose (up to 1015 cm-2) and annealing temperature (up to 1500°C). The results obtained show the presence of three different damage levels:

Moreover, at doses of about 1014 cm-2 (below the amorphization threshold) amorphous domains are already observed. The Raman spectra indicate the existence of structural differences between the amorphous phase at doses below and above the threshold. After annealing, there is a residual damage which cannot be removed even at the highest annealing temperature of 1500°C. Differences in residual damage between the samples implanted at doses of 1014 and 1015 cm-2 and annealed at the highest temperatures are observed from the peaks in the 1000-1850 cm-1 spectral region. Finally, annealing at the highest temperature is required to observe the complete disappearance of the amorphous bands.

LETTERS SECTION

Noise Investigation of Ultraviolet Laser Induced Grain Structure in Polyimide Films
Z. KOCSIS,1 ZS. KINCSES,1 B. HOPP,2 G. RIPKA,1 and I. MOJZES1
1--Technical University of Budapest, Department of Electonic Technology, H-1521, Hungary. 2--Research Group on Laser Physics of the Hungarian Academy of Science, Dóm tér 9, H-6720 Szeged, Hungary.

KEY WORDS
1/f noise, excimer laser, laser induced conductivity, polyimide
The ultraviolet laser treatment of polyimide films can lead to the formation of conductive area. The conductive layers show a carbon-rich grain structure. The heat treatment was carried out in air and nitrogen atmosphere. Morphology of conductive layers was investigated by scanning electron microscopy and transmission electron microscopy. On laser induced conductive layer electrical noise investigation was carried out. Our investigation shows that laser induced layers have 1/f noise spectra. According to our results, conductive layers prepared in nitrogen atmosphere have better stability than those prepared in air.


Direct questions about this or any other JEM page to jem@tms.org.

Search TMS Document Center Tables of Contents Subscriptions JEM TMS OnLine