JOURNAL OF ELECTRONIC MATERIALS
ABSTRACTS
Volume 25, Number 10, October 1996

This Month Featuring: Proceedings of the symposium on Engineering Science of Chemical-Mechanical Planarization and Regular Issue Papers from the 1996 TMS Annual Meeting, Anaheim, CA, February 4-8. View October 1996 Table of Contents.

SPECIAL ISSUE PAPERS

Foreword
The papers compiled in this special issue of the Journal of Electronic Materials were presented in a symposium on the "Engineering Science of Chemical-Mechanical Planarization" at the 1996 Annual TMS meeting in Anaheim, CA, February 4-8. It was sponsored by the Thin Film and Interfaces Committee and was the first in a new series of symposia sponsored by the EMPMD Division of TMS on "Emerging Technologies." The purpose of this new genre of meetings is to provide a means of discussing rapidly emerging technologies which are yet to develop into a level of maturity and bring it to the attention of the scientific community. In this manner, this will help promote further scientific activity in the field. The field of "chemical-mechanical planarization" (CMP) is an excellent example of such an "emerging technology." It is a manufacturing step that forms a critical component in the development of numerous advanced technologies including VLSI circuits, flat panel display technologies, and ultra-high precision machining for MEMS devices. While there is a wide spread and rapidly growing industrial market for this planarization technology, it is a process that is influenced by a complex interaction of numerous parameters and is governed by a high level of empiricism with little or no understanding of the physical mechanisms controlling the process. The papers presented here each examine different aspects of this technology and also present an interdisciplinary approach that is needed to solve urgent microelectronics manufacturing problems. It is worthwhile to note that this issue of the Journal of Electronic Materials provides the first scientific archival compilation of papers dedicated to this new and emerging technology.

Professor Krishna Rajan
Materials Science and Engineering Department
Rensselaer Polytechnic Institute
Troy, NY

Dr. Michael Fury
Rodel Corporation
Newark, DE

Guest Editors

Advances in Physically Based Erosion Simulators for CMP
SCOTT R. RUNNELS
Southwest Research Institute, P.O. Drawer 28510, San Antonio, TX 78228.

KEY WORDS
Chemical-mechanical planarization, erosion, oxide films, stress
Advances in two types of chemical-mechanical planarization (CMP) erosion simulators are reported in the context of a CMP modeling overview. One advance is a physically based erosion simulation platform that is capable of simulating the complete erosion of multiple oxide features. The platform separates the erosion model from the stress model, making it easy to use and versatile. Another advance is the development of a hybrid approach to erosion modeling that mixes generic physics with phenomenological understanding. The hybrid simulator is capable of accurate three-dimensional erosion simulation across large patterns, a capability that will eventually lead to die-wide CMP simulation.

Chemical-Mechanical Polishing of Oxide Thin Films: The Rebinder-Westwood Phenomenon Revisited
KRISHNA RAJAN
Department of Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, NY 12180-3590.

KEY WORDS
Chemical-mechanical polishing, environmentally sensitive fracture, Fourier transform infrared spectroscopy (FTIR), oxide films, surface plasticity, zeta potential
The role of hydrogen interactions and diffusion in oxide thin films subjected to chemical-mechanical polishing is assessed through Fourier transform infrared spectroscopy (FTIR). The results are discussed in the context of the efficacy of hydrogen transport on controlling the properties of thin oxide films and structural descriptions of oxide glasses. The implications of these results are for the first time put into the context of the early work of Rebinder and Westwood on chemical induced surface modifications in materials and their effect on wear behavior.

Nanofabrication of a Quantum Dot Array: Atomic Force Microscopy of Electropolished Aluminum
R.E. RICKER,1 A.E. MILLER,2 D.-F. YUE,2 G. BANERJEE,2 and S. BANDYOPADHYAY3
1--Materials Science and Engineering Laboratory, National Institute of Standards and Technology, Technology Administration, U.S. Department of Commerce, Gaithersburg, MD 20899. 2--Department of Chemical Engineering, University of Notre Dame, Notre Dame, IN 46556. 3--Department of Electrical Engineering, University of Notre Dame, Notre Dame, IN 46556.

KEY WORDS
Al, atomic force microscopy (AFM), chemical-mechanical polishing, electropolishing, nanofabrication, quantum dots
One step required for the fabrication of a quantum dot array on an aluminum substrate is the preparation of a flat aluminum surface. To enable the optimization of the electropolishing procedure, atomic force microscopy was used to examine the morphology of electropolished polycrystalline aluminum surfaces that were prepared under different electropolishing conditions. The electropolishing voltage, time, and temperature were varied. Two distinctly different surface morphologies were observed for different electropolishing conditions and transitional structures were observed for intermediate conditions. It was found that the type of surface morphology and the surface roughness could be controlled primarily with the electropolishing voltage while temperature and time had relatively little effect over the range examined in this study.

Electrochemical Effects in the Chemical-Mechanical Polishing of Copper for Integrated Circuits
C.A. SAINIO,1 D.J. DUQUETTE,1 J. STEIGERWALD,2 and S.P. MURARKA1
1--Rensselaer Polytechnic Institute, Department of Materials Science and Engineering, Troy, NY 12180-3590. 2--Rensselaer Polytechnic Institute, Department of Materials Science and Engineering, Troy, NY 12180-3590. Present address: Intel Corporation, Hillsboro, OR 97124.

KEY WORDS
Chemical-mechanical polishing, copper, linear polarization resistance
Chemical-mechanical polishing of copper in ammonia based solutions has been studied using electrochemical techniques such as electrochemical potential, linear polarization resistance, and potentiodynamic polarization. A copper rotating disk electrode was used to simulate polishing conditions. Measurements made on sputter-coated wafers during polishing were used for comparison. The dissolution of copper is limited by transport of Cu(NH3)2+ away from the surface, and the removal rate of copper during polishing is controlled in the solution by the formation of copper ammine complexes.

Solution Chemical Constraints in the Chemical-Mechanical Polishing of Copper: Aqueous Stability Diagrams for the Cu-H2O and Cu-NH3-H2O Systems
K. OSSEO-ASARE1 and KAMAL K. MISHRA2
1--Department of Materials Science and Engineering, The Pennsylvania State University, University Park, PA 16802. 2--OnTrak Systems, Inc., 77 W. Montague, Milpitas, CA 75035.

KEY WORDS
Chemical-mechanical polishing, copper, potential-pH diagrams
A general graphical approach to the solution chemistry of chemical mechanical polishing is presented with the aid of a variety of aqueous stability diagrams, such as Eh-pH (Pourbaix), log{Metal}-pH, and log{Ligand}-pH diagrams. The common thermodynamic origin of these diagrams is highlighted. The important role played by concentration gradients in effecting chemical mechanical polishing is stressed and illustrated with the aid of stability diagrams generated for the Cu-H2O and Cu-NH3-H2O model systems. It is demonstrated that chemical mechanical polishing is feasible when the following two conditions are satisfied simultaneously: (a) at the metal surface dissolved metal concentration is high and/or ligand concentration is low (this favors oxide film formation), and (b) in the bulk aqueous phase the metal concentration is low and/or the ligand concentration is high (this favors the dissolution of film fragments).

Distribution Systems for CMP: The New Challenge
ROBERT KORMAN1 and DENNIS CAPITANIO2
1--MEGA Systems and Chemicals, Chandler, AZ. 2--Pall Corporation, 25 Harbor Park Drive, Port Washington, NY 11050.

KEY WORDS
Chemical-mechanical planarization (CMP), planarization, polishing
The shrinking size of the features on semiconductor chips has led to the widespread use of chemical-mechanical planarization (CMP). CMP insures that underlying layers are planar prior to the deposition of additional layers on the silicon wafer. The widespread use of CMP in the semiconductor industry has hastened the need for bulk delivery systems to carry the slurries to the increasing number of tools in a typical fabrication facility. A carefully controlled delivery system that insures that each tool will be supplied with properly blended slurry upon demand is a necessity. These new bulk delivery systems used in combination with proper filtration will allow the end-user to be assured of good wafer throughput and slurry that is free of agglomerates and other contaminants.

The Importance of Particle Size to the Performance of Abrasive Particles in the CMP Process
MICHAEL C. POHL and DUNCAN A. GRIFFITHS
Horiba Instruments, Inc., 17671 Armstrong Avenue, Irvine, CA 92614.

KEY WORDS
Abrasives, chemical-mechanical planarization (CMP), particle size distribution, slurries
Chemical-mechanical planarization is an area of technology which is growing at a very rapid pace. As Fig. 1 shows, it is projected to continue its phenomenal growth over the next five years. In spite of its growth, it is still a relatively new field of investigation. The mechanism of the process is understood to include oxidation and abrasion, but the details are a bit vague. The notion of applying an abrasive slurry to the wafer was quite radical and was expected to create some problems. One of the problems uncovered was the formation of micro-scratches in the wafer. From other applications for abrasives, this was known to be caused by the presence of larger particles in the slurry. This problem suggested that particle size would be a critical area for study. This idea has been borne out by some recent experiments.

Review of Planarization and Reliability Aspects of Future Interconnect Materials
ANANTHA R. SETHURAMAN, JIUN-FANG WANG, and LEE M. COOK
Rodel Inc., 451 Bellevue Road, Newark, DE 19713.

KEY WORDS
Aluminum, chemical-mechanical polishing, copper, interconnects
Device linewidths are shrinking resulting in more stringent requirements on choice of materials, processes and designs. Current generation of memory and microprocessor designs use tungsten as the main interconnect material with aluminum being utilized in lines. It is being proposed at the current time that copper and aluminum will be likely candidates for the future interconnect structures. Although both metals are equally suitable as the next generation interconnect, there still exist certain material issues relating to deposition, electromigration/reliability, and planarization that need to be addressed.

Optical Interferometry for Surface Measurements of CMP Pads
DAVID STEIN,1 DALE HETHERINGTON,2 MIKE DUGGER,2 and TOM STOUT3
1--University of New Mexico, Department of Chemical and Nuclear Engineering, Albuquerque, NM 87131. 2--Sandia National Laboratories, P.O. Box 5800, Albuquerque, NM 87123. 3--WYKO Corporation, 2650 East Elvira Road, Tucson, AZ 85706.

KEY WORDS
Chemical-mechanical polishing, optical interferometry, oxide films, surface morphology
Optical interferometry was used to quantitatively characterize the surface of chemical-mechanical polishing (CMP) pads used to polish oxide films. We discuss the optical interferometry technique, including a description of the parameters necessary to compare pad samples. Flat, mesa-like structures formed on the pad during the first 5 min polish when conditioning was not used. The data from the optical interferometer indicated that the surface topography did not change with subsequent polishing, even though the thermal oxide removal rate continued to decrease. We found conditioning roughened the pad surface. Rougher pad surfaces removed more oxide during a single 5 min polish than comparatively smooth pad surfaces. Data indicates that conditioning increases and stabilizes pad surface roughness.

Investigation of Pad Deformation and Conditioning During the CMP of Silicon Dioxide Films
K. ACHUTHAN,1 J. CURRY,2 M. LACY,2 D. CAMPBELL,3 and S.V. BABU1,3
1--Department of Chemical Engineering, Clarkson University, Potsdam, NY. 2--Strasbaugh, San Luis Obispo, CA. 3--Center for Advanced Materials Processing, Clarkson University, Potsdam, NY.

KEY WORDS
Chemical-mechanical polishing, oxide films
An understanding of different aspects of chemical-mechanical polishing (CMP) is sought with emphasis on the polish pad degradation and conditioning during the polishing of silica films. In situ and ex situ conditioning have been compared. In situ conditioning has proven to yield higher removal rates with improved, within wafer uniformities. Some of the factors contributing to the pad deterioration such as the conditioning tool down force, tool speed, and the type of solubilizing ions in the slurry is examined. The dependence on diamond particle sizes, nickel plated on to the conditioning discs, is discussed. The extent of pad wear caused by all of the above factors has been quantitatively determined and presented.

REGULAR ISSUE PAPERS

Correlation of Photoluminescence Linewidths with Carrier Concentration in p-Ga0.52In0.48P
D.J. ARENT,1 M.W. PETERSON,1 C. KRAMER,1 K.A. BERTNESS,2 and J.A. TURNER1
1--National Renewable Energy Laboratory, 1617 Cole Boulevard, Golden, CO 80401. 2--National Renewable Energy Laboratory, 1617 Cole Boulevard, Golden, CO 80401. Present address: National Institute of Standards and Technology, MS 815.04, 325 Broadway, Boulder, CO 80303-3328.

KEY WORDS
Carrier concentration, gallium indium phosphide, nondestructive characterization, photoluminescence
We find a statistically significant correlation between carrier concentration and the Lorentzian linewidth factor determined from a Voigt lineshape fit to room-temperature photoluminscence (PL) measurements for partially ordered p-Ga0.52In0.48P epitaxially deposited by organometallic vapor-phase deposition on GaAs. The correlation is independent of the amount of ordering present in the material. For carrier concentrations over the range of ~1016 to 1019 cm-3, PL provides rapid and nondestructive evaluation, with increasing accuracy above carrier concentration levels of 5 x 1017 cm-3.

High-Speed InP/InGaAs Heterojunction Bipolar Transistor Utilizing Nonalloyed Contacts on n+-InP Contacting Layers
M.T. FRESINA, D.A. AHMARI, S. THOMAS, D.W. BARLAGE, C.A. MARTINO, M. FENG, and G.E. STILLMAN
Department of Electrical and Computer Engineering and the Center for Compound Semiconductor Microelectronics, University of Illinois, 208 N. Wright St., Urbana, IL 61801.

KEY WORDS
Contact resistance, heterojunction bipolar transistor (HBT), InP/InGaAs, nonalloyed contacts
We have demonstrated a high-speed InP/InGaAs heterojunction bipolar transistor with nonalloyed TiPtAu contacts on n+-InP emitter and collector contacting layers. The use of SiBr4 as a silicon doping source enabled the formation of low resistance ( C < 2 x 10-6 cm2), nonalloyed TiPtAu contacts to the heavily doped (n = 2 x 1019 cm-3) InP contacting layers. A device with a 3x10µm2 emitter contact exhibited excellent dc characteristics and had fT = fmax=107 GHz. Emitter and collector resistances are compared to a device with InGaAs contacting layers.

C Implantation and Surface Degradation of InGaP
C.B. VARTULI,1 C.R. ABERNATHY,1 S.J. PEARTON,1 J.C. ZOLPER,2 and A.J. HOWARD2
1--Department of Materials Science and Engineering, University of Florida, Gainesville FL 32611. 2--Sandia National Laboratories, Albuquerque NM 87185-0603.

KEY WORDS
Carbon ion-implantation, InGaP, self-compensation
C ions were implanted alone, or with either N, Al, Ar, or P co-implants, into In0.51Ga0.49P at doses between 5 x 1012-5 x 1014 cm-2 and the electrical activation was measured for annealing temperatures between 650-1000°C. Capless proximity annealing preserves the surface to ¾900°C, as measured by atomic force microscopy and scanning electron microscopy. The acceptor activation percentages are low (¾20%) in all cases. This is consistent with a model in which C has a strong tendency for self-compensation in InGaP.

Cross-Sectional TEM of Pd/InP and Au/InP Interfaces Formed at Substrate Temperatures Near 300 and 77K
J.W. PALMER,1 W.A. ANDERSON,1 D.T. HOELZER,2 and M. THOMAS3
1--Center for Electronic and Electro-Optic Materials, Department of Electrical and Computer Engineering, State University of New York at Buffalo, 217 Bonner Hall, Amherst, NY 14260. 2--NYS College of Ceramics, Alfred University, McMahon Hall, Alfred, NY 14802. 3--212 Clark Hall, Cornell University, Ithaca, NY 14853

KEY WORDS
Barrier height, InP, interfaces, transmission electron microscopy (TEM)
Depositing Pd or Au on InP at cryogenic substrate temperatures has previously been found to significantly increase the barrier height of the resulting Schottky diode. In this work, cross-sectional transmission electron microscopy was used to determine the structural differences between metal/semiconductor (MS) interfaces formed at 300K (RT) and at 77K (LT). In the Pd/InP case, RT samples exhibited a thick amorphous interaction layer at the MS interface, while LT samples only had a thin phosphorous-rich interfacial layer. However, in the Au/InP case, no amorphous interlayers were observed in any of the samples. Instead, a small amount of Au was found to extend into the InP lattice in the RT case which was not present in LT samples. The thermal stability of the barrier height was studied as well. LT Au/InP samples were found to exhibit a distinct barrier height shift when annealed at 200°C which was linked to a grain coarsening in the polycrystalline Au layer at this temperature. X-ray diffraction was used to verify changes in the polycrystalline metal's average grain size. We conclude that a significant reduction in the interaction between the deposited metal and InP was responsible for the greatly enhanced barrier height observed in LT interfaces.

Photoluminescence Analysis of InAlAs-InGaAs HFET Material with Varied Placement of Heavy -Doping
WILLIAM E. LEITCH, BERND U. HENLE, and ERHARD KOHN
Department of Electron Devices and Circuits, University of Ulm, D-89069 Ulm, Federal Republic of Germany.

KEY WORDS
Heterojunction field effect transistor (HFET), high electron mobility transistor (HEMT), InAlAs, InGaAs, InP, photoluminescence (PL)
Low temperature photoluminescence (PL) has been used to characterize InAlAs/InGaAs/InP heterojunction field-effect transistor (HFET) structure material. A phenomenological lineshape model has been applied to the PL spectrum to derive energy levels and the position of the Fermi-energy and hence the channel carrier concentration. The data is compared with results from low-temperature Hall and Shubnikov-de Hans (SdH) measurements, and fit with a charge-control model of the conduction band. Values for the sheet density are derived from PL for channel-doped structures where SdH measurements are difficult. Changes in the quantum well symmetry through variations in the dopant distribution are shown to be reflected in the PL lineshape.


Direct questions about this or any other JEM page to jem@tms.org.

Search TMS Document Center Tables of Contents Subscriptions JEM TMS OnLine