JOURNAL OF ELECTRONIC MATERIALS
ABSTRACTS
Volume 25, Number 5, May 1996

This Month Featuring: Proceedings of the symposium on III-V Nitrides and Silicon from the 1995 Electronic Materials Conference, Charlottesville, Virginia, June 21-23. View May 1996 Abstracts.

SPECIAL ISSUE PAPERS

Foreword
Because of the rapid advances in and technical importance of SiC and III-V nitride materials and devices, these topics represented the largest component of the 37th Electronic Materials Conference (EMC) held in 1995 at the University of Virginia. There were 22 presentations on III-V nitrides and 10 presentations on SiC. A few of those presentations have been developed into papers that are contained in this special issue along with other contributions from these fields for a total of 23 papers. These papers cover the topics of epitaxy, characterization, processing, and device applications. SiC and III-V nitrides will again be a major emphasis at the 38th EMC, June 26-28, 1996, at the University of California, Santa Barbara. We would like to thank the authors, reviewers, and the Journal of Electronic Materials for their efforts, which resulted in this special issue.

Michael R. Melloch
Purdue University
West Lafyaette, IN

Ilesanmi Adesida
University of Illinois
Urbana, IL

Guest Editors

Electron Mobility in Two-Dimensional Electron Gas in AlGaN/GaN Heterostructures and in Bulk GaN
M. SHUR,1 B. GELMONT,1 and M. ASIF KHAN2
1--Department of Electrical Engineering, University of Virginia, Charlottesville, VA 22903. 2--APA Optics, APA Inc., 2950 N.E. 84th Lane, Blaine, MN 55449.

KEY WORDS
Gallium nitride, Hall factor, heterostructure, mobility, two-dimensional electron gas (2DEG)
We report on temperature dependencies of the electron mobility in the two-dimensional electron gas (2DEG) in AlGaN/GaN heterostructures and in doped bulk GaN. Calculations and experimental data show that the polar optical scattering and ionized impurity scattering are the two dominant scattering mechanisms in bulk GaN for temperatures between 77 and 500K. In the 2DEG in AlGaN/GaN heterostructures, the piezoelectric scattering also plays an important role. Even for doped GaN, with a significant concentration of ionized impurities, a large volume electron concentration in the 2DEG significantly enhances the electron mobility, and the mobility values close to 1700 cm2/Vs may be obtained in the GaN 2DEG at room temperature. The maximum measured Hall mobility at 80K is nearly 5000 cm2/Vs compared to approximately 1200 cm2/Vs in a bulk GaN layer. With a change in temperature from 300 to 80K, the 2DEG in our samples changes from nondegenerate and weakly degenerate to degenerate. Therefore, in order to interpret the experimental data, we propose a new interpolation formula for low field mobility limited by the ionized impurity scattering. This formula is valid for an arbitrary degree of the electron gas degeneracy. Based on our theory, we show that the mobility enhancement in the 2DEG is related to a much higher volume electron concentration in the 2DEG, and, hence, to a more effective screening.

Real-Time Monitoring of the Surface Stoichiometry During Molecular Beam Epitaxy of Cubic GaN on (001) GaAs by RHEED
HUI YANG, OLIVER BRANDT, and KLAUS PLOOG
Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, D-10117 Berlin, Germany.

KEY WORDS
GaN, molecular beam epitaxy (MBE), reflection high-energy diffraction (RHEED)
We study the plasma-assisted molecular beam epitaxy of cubic GaN on GaAs(001) substrates by means of in-situ reflection high-energy electron diffraction. The epilayers are characterized by x-ray diffraction, photoluminescence, and Hall measurements, and it is found that the overall best films are grown under a N/Ga ratio close to one. For an in-situ determination of the N/Ga ratio, the growth kinetics is studied via surface reconstruction transitions. The effective N flux giving rise to growth is measured using the transient behavior of the half-order diffraction streak intensity for various plasma operating conditions.

MBE Growth and Properties of GaN and AIxGa1-xN on GaN/SiC Substrates
M.A.L. JOHNSON,1 SHIZUO FUJITA,2 W.H. ROWLAND, JR.,1 W.C. HUGHES,1 Y.W. HE,1 N.A. EL-MASRY,1 J.W. COOK, JR.,1 J.F. SCHETZINA,1 J. REN,3 and J.A. EDMOND3
1--Department of Physics, North Carolina State University, Raleigh, NC 27695-8202. 2--Present address: Department of Electronic Science and /Engineering, Kyoto University, Kyoto 606-01, Japan. 3--Cree Research, Inc., 2810 Meridian Parkway, Durham, NC 27713.

KEY WORDS
AlxGa1-xN, GaN, GaN/SiC substrates, molecular beam epitaxy, transmission electron microscopy
The growth of GaN and AlGaN by molecular beam epitaxy (MBE) has been studied using GaN/SiC substrates. The GaN/SiC substrates consisted of ~3 µm thick GaN buffer layers grown on 6H-SiC wafers by metalorganic vapor phase epitaxy (MOVPE) at Cree Research, Inc. The MBE-grown GaN films exhibit excellent structural and optical properties--comparable to the best GaN grown by MOVPE. AlxGa1-xN films (x ~ 0.06-0.08) and AlxGa1-xN/GaN multi-quantum-well structures which display good optical properties were also grown by MBE on GaN/SiC substrates.

Residual Impurities in GaN/Al2O3 Grown by Metalorganic Vapor Phase Epitaxy
AKIHIKO ISHIBASHI,1 HIDEMI TAKEISHI,1 MASAYA MANNOH,1 YASUFUMI YABUUCHI,2 and YUZABUROH BAN1
1--Semiconductor Research Center, Matsushita Electric Industrial Co., Ltd. 2--Matsushita Technoresearch, Inc., 3-1-1 Yagumo-Nakamachi, Moriguchi, Osaka 570, Japan.

KEY WORDS
Al2O3, carbon, GaN, metalorganic vapor phase epitaxy (MOVPE), residual impurity, secondary ion mass spectroscopy (SIMS), triethylgallium (TEGa), trimethylgallium (TMGa), V/III ratio
Residual impurities in GaN films on sapphire (Al2O3) substrates grown by two-step metalorganic vapor phase epitaxy (MOVPE) have been investigated. We have mainly investigated the incorporation of carbon into the GaN films with GaN buffer layers on Al2O3 during MOVPE growth, comparing trimethygallium (TMGa) and triethygallium (TEGa) as the typical gallium precursors. The films were characterized by secondary ion mass spectroscopy analysis, photo-luminescence, and Hall measurements. The carbon, hydrogen, and oxygen concentrations increase with decreasing growth temperature in using TMGa. Especially the carbon concentration increases with decreasing a V/III ratio, for both TMGa and TEGa. There is about two times more carbon in the GaN films grown using TEGa than those using TMGa. The carbon from TMGa mainly enhances the D-A pair emission (~378 nm), which shows the carbon makes an acceptor level at nitrogen sites in GaN. On the other hand, the carbon from TEGa enhances a deep emission (~550 nm), which shows the carbon makes not only an acceptor level but deep levels at interstitial sites in GaN. The carbon impurities originate from methyl radicals for TMGa, or ethyl radicals for TEGa. It is supposed that, in the case of TEGa, the carbon impurities are not always located at nitrogen sites, but are also located at interstitial sites because of the C-C bonding in ethyl radicals.

Cleaning of GaN Surfaces
L.L. SMITH,1 S.W. KING,2 R.J. NEMANICH,2 and R.F. DAVIS1
1--Materials Research Center, North Carolina State University, Raleigh, NC 27695-7919. 2--Surface Science Laboratory, North Carolina State University, Raleigh, NC 27695-8202.

KEY WORDS
Auger electron spectroscopy (AES), gallium nitride, metallization, ohmic contacts, surface analysis, surface cleaning, thermal desorption
The work described in this paper is part of a systematic study of surface cleaning and ohmic contact strategies for GaN. The goal of this investigation was to determine the most effective methods of wet chemical and thermal desorption cleaning for the removal of oxygen (O) and carbon (C) prior to metallization. Hydrochloric (HCl) and hydrofluoric (HF) acid-based cleaning treatments were compared, and thermal desorption as a function of temperature was characterized by sequential heating under ultra high vacuum (UHV) conditions. Auger electron spectroscopy (AES) analysis was used to monitor the presence of surface O and C throughout the study. For the removal of surface oxide, HCl-based solutions were found to be most effective; under as-cleaned, air-exposed conditions, HCl:DI H2O (1:1) solution resulted in the lowest levels of residual O and C. However, HF-based solutions resulted in more effective thermal desorption of C from the surfaces. In contrast to the results typically observed in the thermal desorption cleaning of GaAs, complete removal of oxygen and carbon from air-exposed GaN surfaces was not seen using vacuum heating alone, even to temperatures where GaN decomposition occurs (>800-900°C). The results of this study indicate that the presence of oxygen and carbon on the GaN surface is persistent even to high temperatures, and that further in-situ cleaning methods must be added to obtain spectroscopically clean GaN surfaces.

Estimated Phase Equilibria in the Transition Metal-Ga-N Systems: Consequences for Electrical Contacts to GaN
S.E. MOHNEY and X. LIN
Department of Materials Science and Engineering, The Pennsylvania State University, University Park, PA 16802.

KEY WORDS
Contacts, GaN, ohmic contacts, phase equilibria, thermal stability
High quality electrical contacts to GaN are required for the advancement of electronic and optoelectronic devices based on the III-V nitrides. In this study, the metallurgy of contacts to GaN and the implications for the design of electrical contacts are considered. First, phase diagrams are estimated for the transition metal-Ga-N systems. The diagrams are then used as an aid in predicting the reaction products of annealed metal/GaN contacts, to suggest materials that may be useful as thermally stable electrical contacts and to explore the role of the partial pressure of N2 in the annealing environment on the reactions in metal/GaN contacts. It is believed that this information will be particularly useful to researchers during the early stages of contact development since very little experimental information is currently available on the GaN contact metallurgy.

Ohmic Contacts to n-Type GaN Using Pd/Al Metallization
A.T. PING,1 M. ASIF KHAN,2 and I. ADESIDA1
1--Center for Compound Semiconductor Microelectronics, Material Research Laboratory, and Department of Electrical and Computer Engineering, University of Illinois, Urbana-Champaign, IL 61801. 2--APA Optics, Inc., Blaine, MN 55449.

KEY WORDS
Gallium nitride (GaN), ohmic contacts
Ohmic contacts to n-type GaN grown by metal organic chemical vapor deposition were formed using a Pd/Al-based metallization. Ohmic contact resistances and specific contact resistances were investigated as a function of rapid thermal annealing temperature, Pd interlayer thickness, and annealing time. As-deposited Pd/Al was found to produce rectifying contacts while the metallization exhibited ohmic characteristics after annealing at temperatures as low as 400°C. A minimum contact resistance of 0.9 ohm-mm (specific contact resistance = 1.2 x 10-5 ohm-cm2) was obtained upon annealing at 650°C for 30 s. For comparison, Al and Ti/Al contacts were also investigated. Auger electron spectroscopy, secondary ion mass spectrometry, and x-ray diffraction were used to investigate metallurgical reactions.

Dry Etching of GaN Using Chemically Assisted Ion Beam Etching with HCl and H2/Cl2
A.T. PING,1 A.C. SCHMITZ,1 M. ASIF KHAN,2 and I. ADESIDA1
1--Center for Compound Semiconductor Microelectronics, Material Research Laboratory, and Department of Electrical and Computer Engineering, University of Illinois, Urbana-Champaign, IL 61801. 2--APA Optics, Inc., Blaine, MN 55449.

KEY WORDS
Chemically assisted ion beam etching (CAIBE), dry etching, gallium nitride (GaN)
The dry etching characteristics of GaN were investigated using chemically assisted ion beam etching (CAIBE) with HCl and H2/Cl2 gas. Etch rates using CAIBE/HCl were investigated as a function of Ar ion beam energy and substrate temperature. These results were compared to CAIBE/Cl2. Etch rates were also investigated for CAIBE/H2/Cl2 for various ratios of H2:Cl2. Highly anisotropic submicron lines are demonstrated using CAIBE/HCl. Auger electron spectroscopy was used to investigate surface stoichiometric changes of samples etched with CAIBE/HCl, CAIBE/H2/Cl2, and CAIBE/Cl2. The diffusion of deuterium into GaN during etching was also investigated using secondary ion mass spectrometry.

Schottky Barriers on n-GaN Grown on SiC
E.V. KALININA,1 N.I. KUZNETSOV,1 V.A. DMITRIEV,2 K.G. IRVINE,2 and C.H. CARTER, JR.2
1--Cree Research EED and A.F. Ioffe Physico-Technical Institute, St. Petersburg 194021, Russia. 2--Cree Research, Inc., Durham, NC 27713.

KEY WORDS
Barrier height, built-in potential, electron affinity, GaN, ideality factor, Schottky barrier, SiC
Characteristics of Schottky barriers fabricated on n-type GaN were investigated. The barriers were formed by vacuum thermal evaporation of Cr, Au, and Ni. Current-voltage (I-V) and capacitance-voltage (C-V) characteristics of the barriers were measured in a wide temperature and current density range. Fundamental parameters (barrier height and built-in potential) of the Schottky barriers were determined. The dependence of the barrier ideality factor on doping concentration in GaN was measured. Correlation between the barrier height and metal work function was observed. The electron affinity for GaN was determined using both C-V and I-V characteristics. The current flow mechanism through the barriers is discussed.

Reactive Ion Etching of Gallium Nitride Films
HEON LEE, DAVID B. OBERMAN, and JAMES S. HARRIS, JR.
Solid State Electronics Laboratory, Stanford University, Stanford, CA 94305.

KEY WORDS
Chloropentafluoroethane (C2ClF5), gallium nitride (GaN), reactive ion etching (RIE), trifluoromethane (CHF3)
Reactive ion etching (RIE) was performed on gallium nitride (GaN) films grown by electron cyclotron resonance (ECR) plasma assisted molecular beam epitaxy (MBE). Etching was carried out using trifluoromethane (CHF3) and chloro pentafluoroethane (C2ClF5) plasmas with Ar gas. A conventional rf plasma discharge RIE system without ECR or Ar ion gun was used. The effects of chamber pressure, plasma power, and gas flow rate on the etch rates were investigated. The etch rate increased linearly with the ratio of plasma power to chamber pressure. The etching rate varied between 60 and 500Å/min, with plasma power of 100 to 500W, chamber pressure of 60 to 300 mTorr, and gas flow rate of 20 to 50 sccm. Single crystalline GaN films on sapphire showed a slightly lower etch rate than domain-structured GaN films on GaAs. The surface morphology quality after etching was examined by atomic force microscopy and scanning electron microscopy.

Ion Implantation and Rapid Thermal Processing of III-V Nitrides
J.C. ZOLPER,1 M. HAGEROTT CRAWFORD,1 S.J. PEARTON,2 C.R. ABERNATHY,2 C.B. VARTULI,2 C. YUAN,3 and R.A. STALL3
1--Sandia National Laboratories, Albuquerque NM 87185-0603. 2--Department of Materials Science and Engineering, University of Florida, Gainesville FL 32611. 3--EMCORE Corporation, Somerset NJ 08873.

KEY WORDS
III-V nitrides, gallium nitride, ion implantation, rapid thermal anneal (RTA)
Ion implantation doping and isolation coupled with rapid thermal annealing has played a critical role in the realization of high performance photonic and electronic devices in all mature semiconductor material systems. This is also expected to be the case for the binary III-V nitrides (InN, GaN, and AlN) and their alloys as the epitaxial material quality improves and more advanced device structures are fabricated. In this article, we review the recent developments in implant doping and isolation along with rapid thermal annealing of GaN and the In-containing ternary alloys InGaN and InAlN. In particular, the successful n- and p-type doping of GaN by ion implantation of Si and Mg+P, respectively, and subsequent high temperature rapid thermal anneals in excess of 1000°C is reviewed. In the area of implant isolation, N-implantation has been shown to compensate both n- and p-type GaN, N-, and O-implantation effectively compensates InAlN, and InGaN shows limited compensation with either N- or F-implantation. The effects of rapid thermal annealing on unimplanted material are also presented.

The Incorporation of Hydrogen into III-V Nitrides During Processing
S.J. PEARTON,1 R.J. SHUL,2 R.G. WILSON,3 F. REN,4 J.M. ZAVADA,5 C.R. ABERNATHY,1 C.B. VARTULI,1 J.W. LEE,1 J.R. MILEHAM,1 and J.D. MACKENZIE1
1--University of Florida, Gainesville, FL 32611. 2--Sandia National Laboratories, Albuquerque, NM 87185. 3--Hughes Research Laboratories, Malibu, CA 90265. 4--AT & T Bell Laboratories, Murray Hill, NJ 07974. 5--U.S. Army Research Office, Research Triangle Park, NC 27709.

KEY WORDS
III-V nitrides, defects, hydrogen, passivation, shallow donors
Hydrogen is readily incorporated into GaN and related alloys during wet and dry etching, chemical vapor deposition of dielectric overlayers, boiling in water, and other process steps, in addition to its effects during metalorganic chemical vapor deposition or metalorganic molecular beam epitaxial growth. The hydrogen is bound at defects or impurities and passivates their electrical activity. Reactivation of passivated shallow donors in the nitrides occurs at 450-550°C, but evolution from the crystal requires much higher temperatures (>=800°C for GaN).

Magnetic Resonance Studies of GaN Based Light Emitting Diodes
W.E. CARLOS,1 E.R. GLASER,1 T.A. KENNEDY,1 and S. NAKAMURA2
1--Naval Research Laboratory, Washington, D.C. 20375. 2--Nichia Chemical Industries, Ltd., Tokushima 774, Japan.

KEY WORDS
Electroluminescence, electron spin resonance, GaN, light emitting diodes (LEDs)
We report the application of electrical detection of magnetic resonance (EDMR) and electroluminescence detection of magnetic resonance (ELDMR) to study the recombination processes in InGaN/AlGaN double heterostructure p-n junctions. These techniques are especially well suited to the problems of defects in device structures in that they are much more sensitive than conventional paramagnetic resonance and are responsive to only those defects involved in the electro-optical properties of the structure. One resonance is observed at g2.00 and is identified as a Zn-related acceptor trap in the InGaN layer. A second resonance with g1.99 is identified as a deep donor.

MBE Growth and Properties of ZnO on Sapphire and SiC Substrates
M.A.L. JOHNSON,1 SHIZUO FUJITA,2 W.H. ROWLAND, JR.,1 W.C. HUGHES,1 J.W. COOK, JR.,1 and J.F. SCHETZINA1
1--Department of Physics, North Carolina State University, Raleigh, NC 27695-8202. 2--Department of Physics, North Carolina State University, Raleigh, NC 27695-8202. Permanent address: Department of Electronic Science and Engineering, Kyoto University, Kyoto 606-01, Japan.

KEY WORDS
GaN, molecular beam epitaxy (MBE), oxygen plasma, reflected high energy electron diffraction, ZnO
Molecular beam epitaxy (MBE) of ZnO on both sapphire and SiC substrates has been demonstrated. ZnO was used as a buffer layer for the epitaxial growth of GaN. ZnO is a würtzite crystal with a close lattice match (<2% mismatch) to GaN, an energy gap of 3.3 eV at room temperature, a low predicted conduction band offset to both GaN and SiC, and high electron conductivity. ZnO is relatively soft compared to the nitride semiconductors and is expected to act as a compliant buffer layer. Inductively coupled radio frequency plasma sources were used to generate active beams of nitrogen and oxygen for MBE growth. Characterization of the oxygen plasma by optical emission spectroscopy clearly indicated significant dissociation of O2 into atomic oxygen. Reflected high energy electron diffraction (RHEED) of the ZnO growth surface showed a two-dimensional growth. ZnO layers had n-type carrier concentration of 9 x 1018 cm-3 with an electron mobility of 260 cm2/V-s. Initial I-V measurements displayed ohmic behavior across the SiC/ZnO and the ZnO/GaN heterointerfaces. RHEED of GaN growth by MBE on the ZnO buffer layers also exhibited a two-dimensional growth. We have demonstrated the viability of using ZnO as a buffer layer for the MBE growth of GaN.

Electronic Properties of Boron in p-Type Bulk 6H-SiC
W.C. MITCHEL,1 MATTHEW ROTH,1 A.O. EVWARAYE,1 P.W. YU,1 and S.R. SMITH2
1--Wright Laboratory, Materials Directorate, WL/MLPO, 3005 P St., Ste. 6, Wright Patterson AFB, OH 45433-7707. 2--Wright Laboratory, Materials Directorate, WL/MLPO, 3005 P St., Ste. 6, Wright Patterson AFB, OH 45433-7707. Permanent address: University of Dayton Research Institute, Dayton, OH.

KEY WORDS
Admittance spectroscopy, boron, SiC
The electronic properties of boron in bulk 6H-SiC have been studied by temperature dependent Hall effect, thermal admittance spectroscopy, and optical absorption. A single acceptor level located between 0.27 and 0.35 eV above the valence band is associated with boron on a silicon lattice site. The deep nature of this acceptor level prevents complete thermal activation of the level at room temperature and thus carrier concentration measurements at this temperature will not give the total boron concentration. A spread in the measured activation energy for boron is reported. Measurement of optical absorption is suggested as a nondestructive measure of boron concentration. No evidence for the D-center was observed in this material.

Effect of Annealing Temperature on 1.5 µm Photoluminescence from Er-Implanted 6H-SiC
A.J. STECKL,1 J. DEVRAJAN,1 W.J. CHOYKE,2 R.P. DEVATY,2 M. YOGANATHAN2 S.W. NOVAK3
1--Department of Electrical and Computer Engineering, University of Cincinnati, Cincinnati, OH 45221-0030. 2--Department of Physics and Astronomy, University of Pittsburgh, Pittsburgh, PA 15260. 3--Evans East, Plainsboro, NJ 08536.

KEY WORDS
Diffusivity, erbium, implantation, ions, photoluminescence (PL), SiC
The effect of post-implantation anneal on erbium-doped 6H-SiC has been investigated. 6H-SiC has been implanted with 330 keV Er+ at a dose of 1 x 1013 /cm2. Er depth profiles were obtained by secondary ion mass spectrometry (SIMS). The as-implanted Er-profile had a peak concentration of ~1.3 x 1018 /cm3 at a depth of 770Å. The samples were annealed in Ar at temperatures from 1200 to 1900°C. The photoluminescence intensity integrated over the 1.5 to 1.6 um region is essentially independent of annealing temperature from 1400 to 1900°C. Reduced, but still significant PL intensity, was measured from the sample annealed at 1200°C. The approximate diffusivity of Er in 6H SiC was calculated from the SIMS profiles, yielding values from 4.5 x 10-16 cm2/s at 1200°C to 5.5 x 10-15 cm2/s at 1900°C.

Reactive Ion Etching of Trenches in 6H-SiC
M. KOTHANDARAMAN, D. ALOK, and B.J. BALIGA
Power Semiconductor Research Center, North Carolina State University, Raleigh, NC 27695.

KEY WORDS
Reactive ion etching (RIE), silicon carbide (SiC)
In this paper, we report the reactive ion etching (RIE) of trenches in 6H-silicon carbide using SF6/O2. The plasma parameters: etchant composition, gas flow rate, chamber pressure, and radio frequency power were optimized to obtain a maximum etch rate of 360Å/min. The etch rate of SiC was found to exhibit a direct correlation with the dc self bias except when the O2 percentage was varied. Trenches were fabricated using the optimized conditions. It was found that the trench surface was extremely rough due to the aluminum micromasking effect. To overcome this effect, a TeflonTM sheet was used to cover the cathode during the experiment. The trenches fabricated using this modification were found to have smooth etched surfaces and sidewalls. The angle of anisotropy of these trenches was approximately 80° which is suitable for device applications.

Aluminum and Boron Ion Implantations into 6H-SiC Epilayers
TSUNENOBU KIMOTO,1 AKIRA ITOH,1 HIROYUKI MATSUNAMI,1 TOSHITAKE NAKATA,2 and MASANORI WATANABE2
1--Department of Electronic Science and Engineering, Kyoto University, Yoshidahonmachi, Sakyo, Kyoto 606-01, Japan. 2--Department of Electronic Science and Engineering, Kyoto University, Yoshidahonmachi, Sakyo, Kyoto 606-01, Japan and Ion Engineering Research Institute, Corporation, Tsuda, Hirakata, Osaka 573-01, Japan.

KEY WORDS
Electrical activation, ion implantation, Rutherford backscattering spectroscopy, silicon carbide
Aluminum and boron ion implantations into n-type 6H-SiC epilayers have been systematically investigated. Redistribution of implanted atoms during high-temperature annealing at 1500°C is negligibly small. The critical implant dose for amorphization is estimated to be 1 x 1015 cm-2 for Al+ implantation and 5 x 1015 cm-2 for B+ implantation. By Al+ implantation followed with 1500°C annealing, p-type layers with a sheet resistance of 22 k can be obtained. B+ implantation results in the formation of highly resistive layers, which may be attributed to the deep B acceptor level.

Elevated Temperature Nitrogen Implants in 6H-SiC
JASON GARDNER,1 MULPURI V. RAO,1 O.W. HOLLAND,2 G. KELNER,3 DAVID S. SIMONS,4 PETER H. CHI,4 JOHN M. ANDREWS,5 J. KRETCHMER,6 and M. GHEZZO6
1--Department of Electrical and Computer Engineering, George Mason University, Fairfax, VA 22030. 2--Oak Ridge National Laboratory, Oak Ridge, TN 37831. 3--Naval Research Laboratory, Washington, DC 20375. 4--National Institute of Standards and Technology, Gaithersburg, MD 20899. 5--Nantron Associates, 3700 Hampton Court, Alexandria, VA 22306-7279. 6--GE Corporate Research and Development, Schenectady, NY 12301.

KEY WORDS
Electrical activation, ion implantation, nitrogen, p-n junction diode, secondary ion mass spectrometry, silicon carbide
Elevated temperature (700°C) N ion implantations were performed into 6H-SiC in the energy range of 50 keV-4 MeV. By analyzing the as-implanted depth distributions, the range statistics of the N+ in 6H-SiC have been established over this energy range. Annealing at 1500 and 1600°C for 15 min resulted in Rutherford backscattering spectrometry scattering yields at the virgin crystal level, indicating a good recovery of the crystalline quality of the material without any redistribution of the dopant. A maximum electron concentration of 2 x 1019 cm-3, at room temperature, has been measured even for high-dose implants. The p-n junction diodes made by N ion implantation into a p-type substrate have a forward turn-on voltage of 2.2 V, an ideality factor of 1.90, and a reverse breakdown voltage of 125 V with nA range leakage current for -10 V bias at room temperature. By probing many devices on the same substrate we found uniform forward and reverse characteristics across the crystal.

High Field Activation of Micropipes in High Resistivity Silicon Carbide
T.S. SUDARSHAN,1 G. GRADINARU,1 G. KORONY,1 W. MITCHEL,2 and R.H. HOPKINS3
1--Department of Electrical and Computer Engineering, University of South Carolina, Columbia, SC 29208. 2--WL/MLPO Bldg. 651, 3005 P st Ste 6, Wright-Patterson AFB, OH 45433-7707. 3--Westinghouse Electric Corporation STC, Pittsburgh, PA 15235.

KEY WORDS
Activation, micropipes, resistivity, silicon carbide
Micropipes in high resistivity (=5 kcm) SiC are highly activated in parallel electric fields (vertical devices) at room temperature starting at very low fields of 5-10 kV/cm, especially in the doped material. No activation of micropipes is observed in high fields (>100 kV/cm) perpendicular to their orientation (lateral devices). In the last case, the high field limitation is due to surface flashover phenomena taking place at 100-175 kV/cm in vacuum ambient and depending strongly on the material growth technology and the gap length. Non-ohmic behavior was not observed in lateral devices up to high applied fields. The high field characterization method is proposed as a powerful tool for the evaluation of the quality of SiC material for next-generation high voltage/high power devices.

Experimental Characterization of Electron-Hole Generation in Silicon Carbide
Y. WANG,1 J.A. COOPER, JR.,1 M.R. MELLOCH,1 S.T. SHEPPARD1 J.W. PALMOUR,2 and L.A. LIPKIN2
1--School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47907. 2--Cree Research, Inc., 2810 Meridian Pkwy., Suite 176, Durham, NC 27713.

KEY WORDS
Activation energy, capacitors, electron-hole, generation, silicon carbide, thermal
Thermal generation in wide bandgap semiconductors can be observed by monitoring the capacitance recovery transients of npn (or pnp) storage capacitors in which the middle layer is floating. In this article, we report a study of thermal generation in 4H and 6H silicon carbide (SiC). Three generation mechanisms are identified: bulk generation in the depletion regions of the pn junctions, surface generation at the periphery of the capacitors, and defect generation associated with imperfections in the material. All three generation mechanisms are thermally activated. Bulk generation and surface generation have activation energies of approximately half bandgap, while defect generation exhibits field-induced barrier lowering resulting in an apparent activation energy less than half bandgap. Because the generation rate is extremely low, most measurements are conducted at elevated temperatures (250-350°C). However, we also describe a long-term measurement at room temperature in which the 1/e recovery time appears to be in excess of 100 years.

Improved Oxidation Procedures for Reduced SiO2/SiC Defects
L.A. LIPKIN and J.W. PALMOUR
Cree Research, Inc., 4022 Stirrup Creek Dr. #322, Durham, NC 27713.

KEY WORDS
Interface quality, metal oxide semiconductor (MOS), oxidation, SiC, SiO2
A significant reduction in the effective oxide charge and interface state densities in oxides grown on p-type 6H-SiC has been obtained by lowering the oxidation temperature of SiC to 1050°C. Further improvements are obtained by following the oxidation with an even lower temperature re-oxidation anneal. This anneal dramatically improves the electrical properties of the Si/SiC interface, and substantially lowers the interface state density. The net oxide charge density on p-type 6H-SiC is also lowered significantly, but remains quite high, at 1.0 x 1012 cm-2. The interface state densities of 1.0 x 1011 cm-2/eV are approaching acceptable MOS device levels. The breakdown fields of the oxides are also substantially improved by both the lower oxidation temperature and re-oxidation anneal. Using a low temperature oxidation followed by a re-oxidation anneal for MOSFETs results in a room temperature mobility of 72 cm2/V-s, the highest channel mobility reported for SiC MOSFETs to date.

Structural, Optical, and Surface Science Studies of 4H-SiC Epilayers Grown by Low Pressure Chemical Vapor Deposition
Z.C. FENG,1 A. ROHATGI2 C.C. TIN,3 R. HU3 A.T.S. WEE,4 and K.P. SE4
1--School of Electrical & Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 and also with the Department of Physics, Emory University, Atlanta, GA 30322. Present address: EMCORE Corporation, 394 Elizabeth Avenue, Somerset, NJ 08873 (contact address). 2--School of Electrical & Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332. 3--Department of Physics, Auburn University, Auburn, AL 36849. 4--Department of Physics, National University of Singapore, Singapore 0511.

KEY WORDS
4H-SiC, Fourier infrared reflectance, low pressure chemical vapor deposition, Raman scattering, x-ray diffraction, x-ray photoelectron spectroscopy
A series of epitaxial 4H-SiC thin films grown by low pressure chemical vapor deposition (LPCVD) were characterized using various techniques, including x-ray diffraction (XRD), Fourier transform infrared (FTIR) reflectance, Raman scattering, and x-ray photoelectron spectroscopy (XPS). The epilayers were grown on heavily doped n-type 4H-SiC substrates using different gas compositions. XRD showed that the thin films were single crystal. Raman scattering identified the films to be 4H polytype. FTIR reflectivity spectra indicated improvement in the film quality over that of the substrate and atmospheric pressure-grown epilayers. XPS scans revealed the existence of Si, C, and O along with C-contaminant species in the form of CH and carbon oxides. Variations in crystalline quality, optical, and surface properties with the growth conditions were studied. This study also provides an important comparison between low and atmospheric pressure-grown 4H-SiC epilayers.


Direct questions about this or any other JEM page to jem@tms.org.

Search TMS Document Center Tables of Contents Subscriptions JEM TMS OnLine