JOURNAL OF ELECTRONIC MATERIALS
ABSTRACTS
Volume 26, Number 3, March 1997

This Month Featuring: Special Issue Papers on III-V Nitrides and Silicon Carbide from the 1996 Electronic Materials Conference, Santa Barbara, California, June 26-28. View March 1997 Table of Contents.

SPECIAL ISSUE PAPERS

Foreword
Because of the rapid advances in and technical importance of SiC and III-V nitride materials and devices, these topics represented the largest component of the 38th Electronic Materials Conference (EMC) held June 26-28, 1996 at the University of California, Santa Barbara. There were 29 presentations on III-V nitrides and 18 presentations on SiC. A few of those presentations have been developed into papers that are contained in this special issue along with other contributions from these fields for a total of 41 papers. These papers cover the topics of epitaxy, characterization, processing, and device applications. SiC and III-V nitrides will again be a major emphasis at the 39th EMC, June 25-27, 1997 at Colorado State University, Fort Collins, CO.

This is the third consecutive year for a special issue of the Journal of Electronic Materials on III-V nitrides and SiC. Because of the rapidly increasing interest in such a special issue, we will again be editing such a special issue for 1998. Professor Michael Spencer of Howard University will be joining us as a co-editor. The tentative deadline for submission of manuscripts will be September 1, 1997 and we are targeting the March 1998 issue of Journal of Electronic Materials for this special issue.

We would like to thank the authors, reviewers, and the Journal of Electronic Materials for their efforts, which resulted in this special issue.

Michael R. Melloch
Purdue University
West Lafayette, Indiana
Ilesanmi Adesida
University of Illinois
Urbana, Illinois

Guest Editors

Residual Damage Effects on Gate Contacts Formed on SiC Surfaces Etched by Using the Amorphization Technique
DEV ALOK, K. MAKESHWAR, and B. JAYANT BALIGA
Power Semiconductor Research Center, North Carolina State University, Raleigh, NC 27695.

KEY WORDS
Etching, Schottky, silicon carbide, thermal oxide
A trench fabrication process has been proposed and experimentally demonstrated for silicon carbide using the amorphization technique. In the present work, the quality of gates [oxide for metal oxide semiconductor field-effect transistors (MOSFETs) and Schottky barrier contacts for metal semicondcutor field-effect transistors (MESFETs)] fabricated on the etched surfaces are compared with those formed on the as-grown silicon carbide surface. The resistivity and breakdown electric field of the thermal oxide grown on the etched surface was found to be comparable to that of thermal oxide grown on silicon. However, a large concentration of acceptor type interface states (0.5-1 x 1013 cm-2eV-1) was observed. This results in a large negative interface charge at room temperature and a significant shift in flat band voltage as a function of temperature, which makes the process unsuitable for formation of gates in UMOSFETs. Titanium Schottky contacts formed on the etched surface showed superior reverse current-voltage characteristics and higher breakdown voltages than the Schottky diodes formed on unetched surface with similar doping concentrations. This indicates that the argon implant process for trench formation is suitable for fabrication of gate regions in high voltage vertical MESFETs (or SITs).

Hopping Conduction in Heavily Doped Bulk n-Type SiC
W.C. MITCHEL,1 A.O. EVWARAYE,2 S.R. SMITH,3 and M.D. ROTH1
1--Wright Laboratory, WL/MLPO, Wright-Patterson AFB, OH 45433-7707. 2--Wright Laboratory, WL/MLPO, Wright-Patterson AFB, OH 45433-7707. Permanent Address: University of Dayton, Department of Physics, 300 College Park Dr., Dayton, OH 45469-2311. 3--Wright Laboratory, WL/MLPO, Wright-Patterson AFB, OH 45433-7707. Permanent Address: University of Dayton Research Institute, 300 College Park Dr., Dayton, OH 45469-0178.

KEY WORDS
Admittance spectroscopy, Hall effect, hopping conduction, silicon carbide
The electronic properties of heavily doped n-type 4H, 6H, and 15R SiC have been studied with temperature dependent Hall effect, resistivity measurements, and thermal admittance spectroscopy experiments. Hopping conduction was observed in the resistivity experiments for samples with electron concentrations of 1017 cm-3 or higher. Both band and hopping conduction were observed in all three polytypes in resistivity and Hall effect experiments. The hopping conduction activation energy 3 obtained from the resistivity measurements varied from 0.003 to 0.013 eV. The 3 value obtained from thermal admittance spectroscopy measurements were slightly lower. The nitrogen ionization levels were observed by thermal admittance spectroscopy only in those samples where hopping conduction was not detected by this experiment. Free carrier activation energy Ea for nitrogen was difficult to determine from temperature dependent Hall effect measurements because of the effects of hopping conduction. A new feature in the apparent carrier concentration vs inverse temperature data in the hopping regime was observed.

Improved Ni Ohmic Contact on n-Type 4H-SiC
C. HALLIN,1 R. YAKIMOVA,1 B. PéCZ,2 A. GEORGIEVA,3 TS. MARINOVA,4 L. KASAMAKOVA,5 R. KAKANAKOV,5 and E. JANZEN1
1--Department of Physics and Measurement Technology, Linköping University, S-581 83 Linköping, Sweden. 2--Research Institute for Technical Physics, H-1325 Budapest, Hungary. 3--Faculty of Physics, Sofia University, 1126 Sofia, Bulgaria. 4--Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, 1113 Sofia, Bulgaria. 5--Institute of Applied Physics, Bulgarian Academy of Sciences, Plovdiv, Bulgaria.

KEY WORDS
Al/Ni/Al/4H-SiC, transmission electron microscopy, x-ray photoelectron spectroscopy
This paper presents the structural, chemical and electronic properties of Al/Ni/Al-layers evaporated on 4H silicon carbide and then annealed at 1000°C for 5 min. The structure was investigated before and after annealing by transmission electron spectroscopy from cross-sectional specimens. With x-ray photoelectron spectroscopy, both element distribution and bonding energies were followed during sputtering through the alloyed metal-semiconductor contact. Voids are found in both annealed Ni/4H-SiC and Al/Ni/Al/4H-SiC contact layers, though closer to the metal-semiconductor interface in the former case. The first aluminum-layer is believed to prevent voids to be formed at the interface and also to reduce the oxide on the semiconductor surface. The contact was found to be ohmic with a specific contact resistance c = 1.8 x 10-5 cm2 which is more than three times lower c than for the ordinary Ni/4H-SiC contact prepared in the same way.

Doping of 3C-SiC by Implantation of Nitrogen at High Temperatures
R. LOSSY,1 W. REICHERT,1 E. OBERMEIER,1 and W. SKORUPA2
1--Technical University Berlin, TIB 3.1, Gustav-Meyer-Allee 25, 13355 Berlin, Germany. 2--Technical University Berlin, TIB 3.1, Gustav-Meyer-Allee 25, 13355 Berlin, Germany and FZ Rossendorf, POB 510119, 01314 Dresden, Germany.

KEY WORDS
Diffusion, ion implantation, silicon carbide
Doping profiles and electrical properties are investigated on SiC samples doped with single energy implants from nitrogen. The profiles are analyzed using Pearson distributions for different implantation energies and temperatures. Implantations are performed for temperatures up to 1200°C. Diffusion during high temperature implantation is investigated and the diffusion coefficients measured range from 1.09 x 10-15 to 1.53 x 10-14 cm2/s depending on temperature. The activation energy for implantation enhanced diffusion is estimated to be 0.91 eV. A comparison is made with diffusion during annealing. The activated dopants from high temperature implantation are investigated by the Hall probe method, showing that activation and mobility increase with temperature.

Hollow-Core Screw Dislocations in 6H-SiC Single Crystals: A Test of Frank's Theory
WEIMIN SI,1 MICHAEL DUDLEY,1 ROBERT GLASS,2 VALERI TSVETKOV,2 and CALVIN CARTER, JR.2
1--Department of Materials Science and Engineering, State University of New York at Stony Brook, NY 11794-2275. 2--Cree Research, Inc., 2810 Meridian Parkway, Durham, NC 27713.

KEY WORDS
6H-SiC, hollow-core screw dislocations, micropipes
Hollow-core screw dislocations, also known as "micropipes", along the [0001] axis in 6H-SiC single crystals, have been studied by synchrotron white beam x-ray topography (SWBXT), scanning electron microscopy (SEM), and Nomarski optical microscopy (NOM). Using SWBXT, the magnitude of the Burgers vector of screw dislocations has been determined by measuring the following four parameters: (1) the diameter of dislocation images in back-reflection topographs; (2) the width of bimodal dislocation images in transmission topographs; (3) the magnitude of the tilt of lattice planes on both sides of dislocation core in projection topographs; and (4) the magnitude of the tilt of lattice planes in section topographs. The four methods show good agreement. SEM results reveal that micropipes emerge as holes on the as-grown surface, with their diameters ranging from about 0.1 to a few micrometers. Correlation between topographic images and SEM micrographs shows that micropipes are hollow-core screw dislocations with Burgers vector magnitudes from 2c to 7c (c is the lattice parameter along the [0001] axis). There is no empirical evidence that 1c dislocations have hollow cores. The Burgers vector magnitude of screw dislocations, b, and the diameter of associated micropipes, D, were fitted to Frank's prediction for hollow-core screw dislocations: D=µb2/4¼2, where µ is shear modulus, and is specific surface energy. Statistical analysis of the relationship between D and b2 shows that it is approximately linear, and the constant /µ ranges from 1.1 x 10-3 to 1.6 x 10-3 nm.

A Silicon Carbide LOCOS Process Using Enhanced Thermal Oxidation by Argon Implantation
DEV ALOK and B.J. BALIGA
Power Semiconductor Research Center, North Carolina State University, Raleigh, NC 27695.

KEY WORDS
Ion implantation, local oxidation of silicon structure(LOCOS), oxidation, silicon carbide
A process is described for creating local oxidation of silicon structure (LOCOS) structures in silicon carbide using enhanced thermal oxidation by argon implantation. Thicker oxides were created in selective regions by using multiple energy argon implants at a dose of 1 x 1015 cm-2 prior to thermal oxidation. Atomic force microscopy was used to analyze the fabricated LOCOS structure.

An EPR Study of Defects Induced in 6H-SiC by Ion Implantation
R.C. BARKLIE,1 M. COLLINS,1 B. HOLM,1 Y. PACAUD,2 and W. SKORUPA2
1--Physics Department, Trinity College, Dublin 2, Ireland. 2--Forschungszentrum Rossendorf eV, Dresden, Germany.

KEY WORDS
6H-SiC, defects, electron paramagnetic resonance, ion implantation
Crystalline (0001) plane wafers of n-type 6H-SiC have been implanted at room temperature with 200 keV Ge+ ions in the dose range 1012 to 1015 cm-2. Electron paramagnetic resonance (EPR) measurements have been made on these samples both before and after annealing them at temperatures in the range room temperature to 1500°C. The as-implanted samples have a single isotropic and asymmetric line EPR spectrum whose width, Bpp, increases with ion dose before falling when a buried continuous amorphous layer is produced. This increase is interpreted in terms of the change in the relative intensity of a line with g = 2.0028 ± 0.0002, Bpp = 0.4 mT associated primarily with carbon dangling bonds in a-SiC and a line with g in the range 2.0033 to 2.0039 of uncertain origin. The variation with anneal temperature of the populations of these defects is reported.

Rapid Thermal Annealing of Ion Implanted 6H-SiC by Microwave Processing
JASON A. GARDNER,1 MULPURI V. RAO,1 Y.L. TIAN,1 O.W. HOLLAND,2 E.G. ROTH,2 P.H. CHI,3 and I. AHMAD4
1--Department of Electrical and Computer Engineering, George Mason University, Fairfax, VA 22030. 2--Oak Ridge National Laboratory, Oak Ridge, TN 37831. 3--National Institute of Standards and Technology, Gaithersburg, MD 20899. 4--FM Technologies, Fairfax, VA 22032.

KEY WORDS
Implantation, microwave annealing, rapid thermal processing, silicon carbide
Rapid thermal processing utilizing microwave energy has been used to anneal N, P, and Al ion-implanted 6H-SiC. The microwaves raise the temperature of the sample at a rate of 200°C/min vs 10°C/min for conventional ceramic furnace annealing. Samples were annealed in the temperature range of 1400-1700°C for 2-10 min. The implanted/annealed samples were characterized using van der Pauw Hall, Rutherford backscattering, and secondary ion mass spectrometry. For a given annealing temperature, the characteristics of the microwave-annealed material are similar to those of conventional furnace anneals despite the difference in cycle time.

Investigations of 3C-SiC Inclusions in 4H-SiC Epilayers on 4H-SiC Single Crystal Substrates
WEIMIN SI,1 MICHAEL DUDLEY,1 HUA-SHUANG KONG,2 JOE SUMAKERIS,2 and CALVIN CARTER, JR.2
1--Department of Materials Science and Engineering, State University of New York at Stony Brook, NY 11794-227. 2--Cree Research, Inc., 2810 Meridian Parkway, Durham, NC 27713.

KEY WORDS
3C-SiC, 4H-SiC, epitaxy, synchrotron white beam x-ray topography (SWBXT)
Synchrotron white beam x-ray topography (SWBXT) and Nomarski optical microscopy (NOM) have been used to characterize 4H-SiC epilayers and to study the character of triangular inclusions therein. 4H-SiC substrates misoriented by a range of angles from (0001), as well as (0) and () oriented substrates were used. For epilayers grown on substrates misoriented by 3.5° from (0001) toward < >, the triangular inclusions were identified as consisting of two 3C-SiC structural configurations which are related to each other by a 180° rotation about the [111] axis. The epitaxial relationships between the 3C inclusions and the 4H-SiC epilayers (or substrates) were also determined. No evidence was found for the nucleation of 3C-SiC inclusions at superscrew dislocations (along the [0001] axis) in the 4H-SiC substrates. Increasing the off-axis angle of the substrates from 3.5 to 6.5° was found to greatly suppress the formation of the triangular inclusions. In the case of substrates misoriented by 8.0° from (0001) toward < >, the triangular inclusions were virtually eliminated. The crystalline quality of 4H-SiC epilayers grown on the substrates misoriented by 8.0° from (0001) was very good. For the (0) and () samples, there is no indication of 3C-SiC inclusions in the epilayers. Possible formation mechanisms and the morphology of 3C-SiC inclusions are discussed.

Low-Temperature Interface Modification by Hydrocarbon Radicals in Heteroepitaxy of 3C-SiC on Si Clean Surface
TOMOAKI HATAYAMA, NORIHIRO TANAKA, TAKASHI FUYUKI, and HIROYUKI MATSUNAMI
Department of Electronic Science and Engineering, Kyoto University, Yoshidahonmachi, Sakyo, Kyoto 606-01, Japan.

KEY WORDS
Dimethylgermane, gas source molecular beam epitaxy, heteroepitaxy, hydrocarbon radicals, interface modification, silicon, silicon carbide
An interface modification by hydrocarbon radicals from cracked-propane (C3H8) and dimethylgermane ((CH3)2GeH2:DMGe) in heteroepitaxy of 3C-SiC on Si has been studied with in situ reflection high-energy electron diffraction (RHEED) and a high-resolution scanning electron microscope. In the case of cracked-C3H8, a clean Si surface is carbonized at 750°C. Whereas, in the case of DMGe, it can be carbonized reproducibly at as low as 650°C. A RHEED pattern of a carbonized layer prepared using DMGe indicates single-crystalline 3C-SiC without twins and Ge-related patterns. The activation energy in the range of 46.9-51.1 kcal/mol is obtained in the initial stage of 3C-SiC growth for both hydrocarbon sources. The difference in 3C-SiC growth by using different hydrocarbon sources is discussed in detail.

Hot-Implantation of Nitrogen Donors into p-Type -SiC and Characterization of n+-p Junction
N. INOUE,1 A ITOH,1 T. KIMOTO,1 H. MATSUNAMI1 T. NAKATA,2 and M. INOUE2
1--Department of Electronic Science and Engineering, Kyoto University, Yoshidahonmachi, Sakyo, Kyoto 606-01, Japan. 2--Ion Engineering Research Institute Corporation, Tsuda, Hirakata 573-01, Japan.

KEY WORDS
Hot-implantation, n+-p junction, reverse leakage current, sheet resistance, silicon carbide
N+ implantation into p-type a-SiC (6H-SiC, 4H-SiC) epilayers at elevated temperatures was investigated and compared with implantation at room temperature (RT). When the implant dose exceeded 4 x 1015 cm-2, a complete amorphous layer was formed in RT implantation and severe damage remained even after post implantation annealing at 1500°C. By employing hot implantation at 500~800°C, the formation of a complete amorphous layer was suppressed and the residual damage after annealing was significantly reduced. For implant doses higher than 1015 cm-2, the sheet resistance of implanted layers was much reduced by hot implantation. The lowest sheet resistance of 542/ was obtained by implantation at 500 ~ 800° with a 4 x 1015 cm-2 dose. Characterization of n+-p junctions fabricated by N+ implantation into p-type epilayers was carried out in detail. The net doping concentration in the region close to the junction showed a linearly graded profile. The forward current was clearly divided into two components of diffusion and recombination. A high breakdown voltage of 615 ~ 810V, that is almost an ideal value, was obtained, even if the implant dose exceeded 1015 cm-2. By employing hot implantation at 800°C, the reverse leakage current was significantly reduced.

Investigation of Co/SiC Interface Reaction
S.W. PARK, Y.I. KIM, J.S. KWAK, and H.K. BAIK
Thin Film Materials Laboratory, Department of Metallurgical Engineering, Yonsei University, 134 Shinchon-dong, Seodaemun-ku, 120-749, Seoul, Korea.

KEY WORDS
Co/SiC interface reaction, Co-Si-C ternary phase diagram, phase sequence
Interface reaction, phase transition, and composition were investigated for Co thin films on amorphous SiC films as a function of heat treatment (600~1000°C). Amorphous SiC layers were grown on (001) Si substrate by magnetron sputter deposition. The SiC layers had a 1:1 stoichiometric ratio of Si to C and an amorphous structure containing microcrystals. The interface reaction between a sputter-deposited Co (250Å thick) and amorphous SiC (2000Å thick) layer on a (001) Si substrate induced by vacuum annealing at temperatures of 600-1000°C was examined. Co2Si was formed at 700°C as the first crystalline phase and CoSi at 800°C as the final stable phase of the Co/SiC interface reaction. This phase sequence of Co2SiCoSi was interpreted in terms of the effective heat of formation and the calculated ternary Co-Si-C phase diagram, and it was consistent with the experimental results. The high formation temperature of the first crystalline Co2Si phase and no formation of a final stable CoSi2 phase are discussed in comparison with Co/Si interface reaction and related to the binding energy of the reacting materials. In addition, the behavior of free carbon remaining after the Co/SiC reaction was investigated. This free carbon moved to the top of the reacted cobalt silicide/SiC layer.

Improvement of the Crystallinity of 3C-SiC Films by Lowering the Electron Temperatures in the Afterglow Plasma Region Using Triode Plasma CVD
K. YASUI, N. NINAGAWA, and T. AKAHANE
Department of Electrical Engineering, Nagaoka University of Technology, 1603-1 Kamitomioka, Nagaoka, Niigata 940-21, Japan.

KEY WORDS
Afterglow plasma, electron temperature, silicon carbide, triode plasma chemical vapor deposition (CVD)
Crystalline SiC films were grown at low temperatures by triode plasma chemical vapor deposition (CVD) using dimethylchlorosilane diluted with hydrogen as the source gas. Influences of the grid bias on the electron temperature in the discharge region and in the afterglow region, and on the properties of the SiC films such as crystallinity, chemical bonding structure, and composition were investigated. Under negative grid bias conditions, the electron temperature in the discharge region increased and that in the afterglow region became about one-tenth of that under positive bias conditions. The crystallinity of the SiC films grown under low electron temperatures in the afterglow plasma region was remarkably improved and the composition of the films became stoichiometric. Under the negative grid bias, a high density of active hydrogen radicals was generated in the discharge region, diffused toward the substrate surface, and extracted the weak bonds or excessive methyl groups from the growing film surface under low electron temperature. As a result of these processes, SiC films with good crystallinity were grown.

Free Electron Laser Annealing of Silicon Carbide
HIDEAKI OHYAMA, TOSHIJI SUZUKI, KAZUHISA NISHI, TSUNEO MITSUYU, and TAKIO TOMIMASU
Free Electron Laser Research Institute, Inc., 2-9-5 Tsuda-yamate, Hirakata, Osaka 573-01, Japan.

KEY WORDS
3C-silicon carbide (3C-SiC), activation, amorphous silicon carbide (a-SiC), crystallization, free electron laser (FEL), laser annealing, Si-C stretch mode
We have applied a free electron laser (FEL) to crystallize amorphous silicon carbide (a-SiC) and to remove the damage and activate the dopant of a damaged layer of nitrogen implanted cubic silicon carbide (3C-SiC) films at room temperature. The FEL has two main characteristics, wavelength tunability and ultrashort-pulse operation (~10 ps) with intense peak power (~MW). The wavelength was selected at the energy of the Si-C stretch mode in order to excite the lattice vibration directly. We observed the crystallization of a-SiC occurs at room temperature when irradiation with a 12.6 µm FEL. The present results indicate that FEL annealing (12.6 µm: transverse optical mode, 10.3 µm: longitudinal optical mode) is effective for recrystallization and activation of an ion-implanted SiC films.

Control of Al and B Doping Transients in 6H and 4H SiC Grown by Vapor Phase Epitaxy
N. NORDELL,1 A. SCHÖNER1 and M.K. LINNARSSON2
1--Industrial Microelectronics Center, P.O. Box 1084, S-164 21 Kista, Sweden. 2--Royal Institute of Technology, Solid State Electronics, P.O. Box E229, S-164 40 Kista, Sweden.

KEY WORDS
Aluminum, boron, diffusion, doping memory, epitaxy, silicon carbide
The atomic concentration profiles in 4H and 6H SiC created by Al and B doping turn-on and turn-off during vapor phase epitaxy (VPE) was investigated by secondary ion mass spectrometry (SIMS). It was found that dopant traces were adsorbed to the reactor walls and re-evaporated after the dopant precursor flow was switched off. This adsorption/re-evaporation process limits the doping dynamic range to about three orders of magnitude for Al, and two orders of magnitude for B. An order of magnitude in doping dynamics could be gained by simultaneously switching the gases and changing the C:Si precursor ratio. By adding a 10 min growth interruption with an H or HCl etch at the doping turn-off, the background doping tail could be considerably suppressed. In total, a doping dynamics for Al of almost five orders of magnitude can be controlled within a 30 nm layer. For B, the dynamic range is more than three orders of magnitude, and the abruptness is most probably diffusion limited. A background doping level of 2 x 1015 cm-3 for Al and 2 x 1016 cm-3 for B was obtained. For Al, the background doping is most probably due to the adsorption/re-evaporation of dopants at the reactor walls; while for B, the background doping may in addition be limited by diffusion.

Electrical Properties of Metal-Diamond-Like-Nanocomposite (Me-DLN) Contacts to 6H SiC
K.J. SCHOEN,1 J.M. WOODALL,1A. GOEL,2 and C. VENKATRAMAN2
1--School of Electrical and Computer Engineering and the Engineering Research Center for Collaborative Manufacturing, Purdue University, West Lafayette, IN 47907. 2--Advanced Refractory Technologies Inc., Buffalo, NY 14207.

KEY WORDS
High temperature contacts, metal-diamond-like-nanocomposite, Schottky barrier contacts, silicon carbide, tungsten
We have fabricated tungsten-diamond-like-nanocomposite (W-DLN) Schottky contacts on n-type and p-type 6H SiC (Si-face). The as-deposited n-type and p-type contacts are rectifying and measurement results suggest that the electrical characteristics are dominated by the properties of the tungsten SiC interface. The n-type contacts have a reverse leakage current density of 4.1 x 10-3 Acm-2 and the p-type contacts have a reverse leakage current density of 1.4 x 10-7 Acm-2 at -10 V. The n-type contacts have an current-voltage (I-V) extracted effective Bn of 0.7 eV with an ideality factor of 1.2 and a capacitance-voltage (C-V) extracted Bn of 1.2 eV. The p-type contacts have an I-V extracted effective Bp of 1.8 eV with an ideality factor of 1.7. Non-ideal I-V and C-V characteristics may be due to surface damage during W-DLN deposition.

Hydrogen Passivation in n- and p-Type 6H-SiC
F. REN,1 J.M. GROW,2 M. BHASKARAN,2 R.G. WILSON,3 and S.J. PEARTON4
1--Lucent Technologies, Bell Laboratories, Murray Hill, NJ 07974. 2--New Jersey Institute of Technology, Newark, NJ 07102. 3--Hughes Research Laboratories, Malibu, CA 90265. 4--University of Florida, Gainesville, FL 32611.

KEY WORDS
Hydrogen, passivation, SiC
Hydrogen passivation effects are found to be much more prevalent in p-type 6H-SiC relative to n-type material. Reactivation of passivated B acceptors occurs at ~700°C, corresponding to a reactivation energy of ~3.3 eV. This is much higher than for passivated acceptors in Si, where reactivation occurs at 200°C. The incorporation depth of 2H from a plasma at 200°C is 0.1 µm in 30 min, corresponding to a diffusivity approximately two orders of magnitude lower than in Si at the same temperature. The average energy of ions in the 2H plasma has an influence on the peak concentration of incorporated deuterium and on its diffusion depth.

Electron Transport Properties of Quantized Silicon Carbide Inversion Layers
J.B. ROLDáN, F. GáMIZ, J.A. LóPEZ-VILLANUEVA, and P. CARTUJO
Departamento de Electrónica y Tecnología de Computadores, Universidad de Granada, Facultad de Ciencias, Avd. Fuentenueva s/n. 18071 Granada, Spain.

KEY WORDS
Electron mobility, high field transport properties, polar-optical phonon scattering, silicon carbide inversion layer
Electron transport properties in SiC quantized inversion layers have been studied by means of a Monte Carlo procedure. It has been observed that the contribution of polar-optical phonon scattering produces a significant influence of the effective-electric field on the high longitudinal field transport regime, this being the main difference of SiC with respect to standard Si inversion layers. The energy- and momentum-relaxation times have been calculated and the results suggest that electron velocity overshoot effects are less important than in Si metal-oxide semiconductor field effect transistors. The electron mobility is not very different from their silicon counterparts, but the saturation velocity is higher.

Activation of Nitrogen Implants in 6H-SiC
J.N. PAN, J.A. COOPER, JR., and M.R. MELLOCH
School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47907-1285.

KEY WORDS
Electrical activation, ion implantation, silicon carbide
We have studied the effect of anneal time and temperature on activation of high-dose nitrogen implants into 6H-SiC. At a fixed anneal temperature, a strong dependence on anneal time is seen. For short anneals, the resistivity initially decreases with anneal time. After a minimum resistivity is reached, resistivity increases with further anneal. The optimum anneal time for minimum resistivity increases as anneal temperature is reduced. Successful activation has been achieved at temperatures as low as 900°C.

Metalorganic Chemical Vapor Deposition-Grown AlN on 6H-SiC for Metal-Insulator-Semiconductor Device Applications
C.C. TIN,1 Y. SONG,1 T. ISAACS-SMITH,1 V. MADANGARLI,2 and T.S. SUDARSHAN2
1--Department of Physics, 206 Allison Laboratory, Auburn University, Auburn, AL 36849. 2--Department of Electrical and Computer Engineering, University of South Carolina, Columbia, SC 29208.

KEY WORDS
6H-SiC, AlN, capacitance-voltage (C-V), high-electric field breakdown, low-pressure metalorganic chemical vapor deposition (MOCVD), metal-insulator-semiconductor devices
Aluminum nitride is a promising insulator for the fabrication of 6H-silicon carbide (6H-SiC) metal-insulator-semiconductor (MIS) devices for high temperature and high power applications. Due to the fact that the electrical response of a Au/AlN/SiC MIS structure is sensitive to the quality of the insulator-semiconductor interface as well as the insulator itself, growth of AlN on 6H-SiC using different growth procedures will produce AlN/6H-SiC structures of different electrical characteristics. In this study, we compared the capacitance-voltage, dc current voltage and high electric field breakdown characteristics of various AlN/6H-SiC MIS structures grown by different low-pressure metalorganic chemical vapor deposition growth procedures. Our results demonstrated that depending on the growth procedure, Au/AlN/SiC MIS structures with low current leakage, low interface state density, good high temperature stability and high electric field breakdown voltage could be obtained.

Growth and Characterization of GaN Thin Films on SiC SOI Substrates
A.J. STECKL,1 J. DEVRAJAN1 C. TRAN,2 and R.A. STALL2
1--University of Cincinnati, Cincinnati, OH 45221-0030. 2--EMCORE Corporation, Somerset, NJ 08873.

KEY WORDS
Carbonization, characterization, GaN, photoluminescence, SiC, SOI
SiC semiconductor-on-insulator (SOI) structures have been investigated as substrates for the growth of GaN films. The SiC SOI was obtained through the conversion of Si SOI wafers by reaction with propane and H2. (111) SiC SOI have been produced by this carbonization process at temperatures ranging from 1200 to 1300°C. X-ray diffraction (XRD) and infrared spectroscopy (FTIR) are used to chart the conversion of the Si layer to SiC. Under our conditions, growth time of 3 min at 1250°C is sufficient to completely convert a 1000Å layer. XRD of the SiC SOI reveals a single SiC peak at 2 = 35.7° corresponding to the (111) reflection, with a corrected full width at half-maximum (FWHM) of ~590±90 arc-sec. Infrared spectroscopy of SiC SOI structures obtained under optimum carbonization conditions exhibited a sharp absorption peak produced by the Si-C bond at 795 cm-1, with FWHM of ~ 20-25 cm-1. Metalorganic CVD growth of GaN on the (111) SiC SOI was carried out with trimethylgallium and NH3. The growth of a thin (200Å), low temperature (500°) GaN buffer layer was followed by the growth of a thick (~2 µm) layer at 1050°C. Optimum surface morphology was obtained for zero buffer layer. XRD indicates highly oriented hexagonal GaN, with FWHM of the (0002) peak of ~360±90 arc-sec. Under high power excitation, the 300°K photoluminescence (PL) spectrum of GaN films exhibits a strong near band-edge peak (at p~371 nm, with FWHM = 100-150 meV) and very weak yellow emission. Under low power excitation, the 370 nm PL emission from the GaN/SiC SOI structure increases rapidly with SiC carbonization temperature, while the yellow band (~550-620 nm) correspondingly decreases.

Correlation of Biaxial Strains, Bound Exciton Energies, and Defect Microstructures in GaN Films Grown on AlN/6H-SiC(0001) Substrates
WILLIAM G. PERRY,1 T. ZHELEVA,1 M.D. BREMSER,1 R.F. DAVIS,1 W. SHAN,2 and J.J. SONG2
1--Department of Materials Science, North Carolina State University, Raleigh, NC 27695. 2--Center for Laser Research, Oklahoma State University, Stillwater, OK 74078.

KEY WORDS
Biaxial strain, donor bound excitons, gallium nitride (GaN), organometallic vapor phase epitaxy (OMVPE), photoluminescence (PL), Poisson's ratio, transmission electron microscopy (TEM), x-ray diffraction
Biaxial strains resulting from mismatches in thermal expansion coefficients and lattice parameters in 22 GaN films grown on AlN buffer layers previously deposited on vicinal and on-axis 6H-SiC(0001) substrates were measured via changes in the c-axis lattice parameter. A Poisson's ratio of v = 0.18 was calculated. The bound exciton energy (EBX) was a linear function of these strains. The shift in EBX with film stress was 23 meV/GPa. Threading dislocations densities of ~1010/cm2 and ~108/cm2 were determined for GaN films grown on vicinal and on-axis SiC, respectively. A 0.9% residual compressive strain at the GaN/AlN interface was observed by high resolution transmission electron microscopy (HRTEM).

Hot Plasma Chemical Vapor Deposition of GaN on GaAs(100) Substrate
J. WANG, Z. ZHU, K.T. PARK, K. HIRAGA, and T. YAO
Institute for Materials Research, Tohoku University, Sendai 980, Japan.

KEY WORDS
Chemical vapor deposition, GaN, high power radio frequency plasma
GaN films have been deposited on GaAs(100) substrates by a novel growth technique, hot plasma chemical vapor deposition. A radio frequency N plasma source with high power, up to 5 kW, provides an abundance of nitrogen atoms during growth. In addition, strong ultraviolet emissions from the hot plasma irradiate onto the substrate and promote the dissociation of triethylgallium, this results in growth of GaN at very low temperature (even at room temperature). In this paper, we describe the characteristics of hot nitrogen plasma and present the results of the low temperature growth of GaN. In addition, we have investigated the effects of the nitridation of GaAs substrates. Reflection high energy electron diffraction indicates the formation of a surface cubic nitrided layer on the pretreated GaAs. The GaN films grown on fully nitrided GaAs(100) substrates are of dominantly cubic structures.

Growth of GaBN Ternary Solutions by Organometallic Vapor Phase Epitaxy
A.Y. POLYAKOV,1 M. SHIN,1 M. SKOWRONSKI1 D.W. GREVE,2 R.G. WILSON,3 A.V. GOVORKOV,4 and R.M. DESROSIERS5
1--Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, PA 15213-3890. 2--Department of Electrical and Computer Engineering, Carnegie Mellon University, Pittsburgh, PA 15213-3890. 3--Hughes Research Laboratories, 3011 Malibu Canyon Road, Malibu, CA 90265. 4--Institute of Rare Metals, B. Tolmachevsky, 5, Moscow, 109017, Russia. 5--Department of Chemical Engineering, Carnegie Mellon University, Pittsburgh, PA 15213-3890.

KEY WORDS
BN, GaN, GaBN, organometallic vapor phase epitaxy (OMVPE), sapphire substrates
Layers of Ga1-xBxN with compositions from x = 0 to x = 0.07 were grown by organometallic vapor phase epitaxy on sapphire substrates using trimethylgallium, triethylboron (TEB), and NH3 as precursors. Growth was done in the temperature range from 450 to 1000°C. The presence of boron was detected by the shift in the (0002) peak position in x-ray diffraction, by x-ray photoelectron spectroscopy, secondary ion mass spectrometry measurements, and by the changes in the band gap as measured by optical transmission. It was found that for the studied range of compositions the band gap varied from 3.4 eV for x = 0 to 3.63 eV for x = 0.05. At certain TEB concentrations in the gas phase, the growth rate decreased abruptly, most likely because of a growth poisoning by the onset of growth of a very slow growing B-rich phase. The threshold TEB concentration for this growth poisoning decreased with increasing growth temperature; and at 1000°C, less than 1% of B could be incorporated as a result. GaBN alloys with about 7% substitutional boron were also produced by implantation of 5 x 1016 cm-2 B ions at 60 keV into GaN, as evidenced by the shift of the band edge emission in cathodoluminescence spectra from 3.4 eV for GaN to 3.85 eV for GaBN.

Effect of Structural Defects and Chemical Impurities on Hall Mobilities in Low Pressure MOCVD Grown GaN
C.-Y. HWANG,1 M.J. SCHURMAN,1 W.E. MAYO,1 Y-C. LU,2 R.A. STALL,3 and T. SALAGAJ3
1--Ceramics Department, Rutgers University, Piscataway, NJ 08855-0909. 2--Electrical and Computer Engineering, Rutgers University, Piscataway, NJ 08855-0909. 3--EMCORE Research Laboratory, Somerset, NJ 08873.

KEY WORDS
Compensation, dislocations, GaN, Hall mobility, impurities, metalorganic chemical vapor deposition (MOCVD), nanopipes, stacking faults, trimethylgallium (TMG), twins, V/III ratio
We have studied the effect that structural defects and chemical impurities have on the electron mobility in GaN films grown in a production scale metalorganic chemical vapor depositon system. Structural defects such as dislocations, stacking faults, twins, and amorphous regions in the buffer layer have been examined. In general, we have found that the structural defects are not the primary contributor to low mobility. However, there is one type of defect ("nanopipe") that may be an important indirect contributor to mobility degradation by acting as a conduit into the film interior for impurities such as carbon and oxygen. We have also investigated the role that the principal impurities play in determining the electrical performance. Of particular concern was the presence of carbon resulting from an incomplete dissociation of trimethylgallium precursor gas. Also present in the films were traces of oxygen, hydrogen, and aluminum, while heavy metals such as iron, chromium, and molybdenum were detected at or near the resolution limit of secondary ion mass spectrometry analysis. We present evidence for compensation by carbon at low carrier concentrations, which would help to explain the anomalous mobility behavior in GaN.

Gas-Source Molecular Beam Epitaxial Growth and Characterization of InNxP1-x on InP
W.G. BI and C.W. TU
Department of Electrical and Computer Engineering, University of California, San Diego, La Jolla, CA 92093-0407.

KEY WORDS
Gas source molecular beam epitaxy (GSMBE), InNP, N plasma source, nitrogen-containing mixed group-V compounds
We report a study of N incorporation into InP using a radio frequency (rf) N plasma source. Very streaky reflection high-energy electron diffraction patterns are observed for InNxP1-x (x <1%) grown on InP, indicating layer-by-layer growth of the film. The sharp x-ray diffraction peak and the clear Pendelloesung fringes in the high-resolution x-ray rocking curves reveal the high crystalline quality and uniformity of the film. They also suggest the smoothness of the interface between InP and InNxP1-x and of the surface of the InNxP1-x layer. This is further confirmed by scanning electron microscopy on these samples, where featureless surface is obtained. The formation of an InNP alloy is confirmed by x-ray -2 diffraction measurement where no phase separation is observed. Different ways to increase the N composition in InNP were explored. At a fixed N2 flow-rate fraction, lowering the growth temperature increases the N composition in InNP. Raising the rf power or using a larger beam exit aperture will also increase the N incorporation as a result of the availability of more active N species.

The Effect of Substrate Misorientation on the Optical, Structural, and Electrical Properties of GaN Grown on Sapphire by MOCVD
P.A. GRUDOWSKI, A.L. HOLMES, C.J. EITING, and R.D. DUPUIS
Microelectronics Research Center, The University of Texas at Austin, Austin TX 78712-1100.

KEY WORDS
Cathodoluminescence (CL), gallium nitride (GaN), metalorganic chemical vapor deposition (MOCVD), misoriented substrates, mobility, photoluminescence (PL), x-ray diffraction
We report the growth and characterization of unintentionally doped GaN on both exact and vicinal (0001) sapphire substrates. The GaN heteroepitaxial layers are grown by metalorganic chemical vapor deposition on c-plane Al2O3 substrates either on-axis or intentionally misoriented 2° toward the a-plane () or 5 or 9° toward the m-plane (). The samples are characterized by 300K photoluminescence, cathodoluminescence, and Hall-effect measurements as well as by triple-axis x-ray diffractometry to determine the effect of the misorientation on the optical, electrical, and structural properties of heteroepitaxial undoped GaN. Ten different sample sets are studied. The data reveal enhanced photoluminescence properties, increased electron mobility, a reduced n-type background carrier concentration, and a somewhat degraded surface morphology and crystalline quality for the misoriented samples compared to the on-axis samples.

Low Resistance Bilayer Nd/AI Ohmic Contacts on n-Type GaN
CHING-TING LEE, MING-YUAN YEH, and YEN-TANG LYU
Institute of Optical Sciences, National Central University, Chung-Li, Taiwan, Republic of China.

KEY WORDS
Al/Nd-GaN ohmic contacts, interdiffusion, specific contact resistance, surface properties morphology
A bilayer Nd/Al metallization structure has been deposited onto low pressure organometallic vapor phase epitaxy grown n-type GaN (1 x 1018 cm-3) by electron-beam evaporation. Ohmic metal contacts were patterned photolithographically for standard transmission line measurement, and then thermally annealed at temperatures ranging from 200 to 350°C and from 500 to 650°C using conventional thermal annealing (CTA) and rapid thermal annealing (RTA), respectively. The lowest values for the specify contact resistivity of 9.8 x 10-6 -cm2 and 8 x 10-6 -cm2 were obtained using Nd/Al metallization with CTA of 250°C for 5 min and RTA of 600°C for 30 s. Examination of the surface morphology using atomic force microscopy as a function of annealing temperature revealed that the surface roughness was strongly influenced by conventional thermal annealing, it was smooth in the temperature range from 550 to 650°C for rapid thermal annealing. Auger electron spectroscopy depth profiling was employed to investigate the metallurgy and interdiffusion of contact formation.

Characterization of Reactive Ion Etching-Induced Damage to n-GaN Surfaces Using Schottky Diodes
A.T. PING,1 A.C. SCHMITZ,1 I. ADESIDA1 M. ASIF KHAN,2 Q. CHEN, and J.W. YANG2
1--Center for Compound Semiconductor Microelectronics and Department of Electrical and Computer Engineering, University of Illinois, Urbana-Champaign, IL 61801. 2--APA Optics, Inc., Blaine, MN 55449.

KEY WORDS
GaN, reactive ion etching, Schottky diode
Dry etch-induced damage has been investigated using Pd Schottky diodes fabricated on n-type GaN surfaces that were etched by reactive ion etching in SiCl4 and Ar plasmas. Damage was evaluated by measuring the current-voltage, current-voltage-temperature, and capacitance-voltage characteristics of the diodes. A plasma chemistry that includes a chemical etching component (SiCl4) was found to significantly reduce the degree of induced damage in comparison to a chemistry that uses only a physical component (Ar). The effective barrier height, ideality factor, reverse breakdown voltage, reverse leakage current, and the effective Richardson coefficient of diodes etched under various plasma conditions are presented. The degree of etch-induced damage was found to depend strongly on the plasma self-bias voltage but saturates with etch time after an initial two-minute etch period. Rapid thermal annealing was found to be effective in improving the diode characteristics of the etched GaN samples.

In Situ Control of GaN Growth by Molecular Beam Epitaxy
R. HELD,1 D.E. CRAWFORD,2 A.M. JOHNSTON,2 A.M. DABIRAN,2 and P.I. COHEN1
1--Department of Electrical Engineering, University of Minnesota, Minneapolis, MN 55455. Also, Department of Chemical Engineering and Materials Science, University of Minnesota, Minneapolis, MN 55455. 2--Department of Electrical Engineering, University of Minnesota, Minneapolis, MN 55455.

KEY WORDS
Atomic force microscopy (AFM), GaN, molecular beam epitaxy (MBE), reflection high energy electron diffraction (RHEED)
Methods to determine GaN surface temperature, surface composition, and growth rates using in situ desorption mass spectroscopy (DMS) and reflection high energy electron diffraction (RHEED) are demonstrated for molecular beam epitaxial growth of GaN using NH3. Using these methods, the GaN surface temperature, Ts, and GaN growth rates as a function of Ts, Ga flux, and NH3 flux were obtained. Surface temperatures were determined from DMS and RHEED measurements of the temperature at which Ga condenses on GaN. NH3-limited and Ga-limited growth regimes are identified and the transition between these regimes is shown to be abrupt. NH3-limited samples have a weakly reconstructed (2 x 2) RHEED pattern, while Ga-limited samples reveal a transmission pattern. Atomic force microscopy showed that NH3-limited samples exhibit atomic steps while Ga-limited samples exhibit faceting.

Study of Indium Droplets Formation on the InxGa1-xN Films by Single Crystal X-Ray Diffraction
HONGQIANG LU,1 MALATHI THOTHATHIRI,2 ZIMING WU,2 and ISHAWARA BHAT1
1--Electrical, Computer and System Engineering Department, Rensselaer Polytechnic Institute, Troy, NY 12180. 2--Material Engineering Department, Rensselaer Polytechnic Institute, Troy, NY 12180.

KEY WORDS
Indium gallium nitride (InxGa1-xN), metalorganic chemical vapor deposition (MOCVD), photoluminescence (PL), single crystal x-ray diffraction
Indium droplets formation during the epitaxial growth of InxGa1-xN films is a serious problem for achieving high quality films with high indium mole fraction. In this paper, we studied the formation of indium droplets on the InxGa1-xN films grown by metalorganic chemical vapor deposition (MOCVD) using single crystal x-ray diffraction. It is found that the indium (101) peak in the x-ray diffraction spectra can be utilized as a quantitative measure to determine the amounts of indium droplets on the film. It is shown by monitoring the indium diffraction peak that the density of indium droplets increases at lower growth temperature. To suppress these indium droplets, modulation growth technique is used. Indium droplets formation in the modulation growth is investigated and it is revealed in our study that the indium droplets problem has been partially relieved by the modulation growth technique.

Structure of GaN Films Grown by Molecular Beam Epitaxy on (0001) Sapphire
L.T. ROMANO,1 B.S. KRUSOR,1 R.J. SINGH,2 and T.D. MOUSTAKAS2
1--Xerox Palo Alto Research Center, 3333 Coyote Hill Rd, Palo Alto, CA 94304. 2--Molecular Beam Epitaxy Laboratory, Department of Electrical Engineering and Center for Photonics Research, Boston University, Boston MA 02215.

KEY WORDS
Crystal structure, GaN, molecular beam epitaxy (MBE), transmission electron microscopy (TEM)
GaN films grown by electron-cyclotron resonance plasma-assisted molecular beam epitaxy were studied by transmission electron microscopy and x-ray diffraction (XRD). Two sets of films were compared that were grown under identical conditions except for the ratio of the Ga to N flux. Films with a 30% higher Ga to N ratio (A films) were found to contain inversion domains (IDs). No IDs were found in films grown with a lower Ga to N ratio (B films), but instead the zinc-blende GaN was found near the film substrate interface. A narrower XRD rocking curve width along the (0002) direction and a broader rocking curve width along the asymmetric ( ) axis were found for A films compared to B films.

Formation of Dry Etched Gratings in GaN and InGaN
J.W. LEE,1 J. HONG,1 J.D. MACKENZIE,1 C.R. ABERNATHY,1 S.J. PEARTON,1 F. REN,2 and P.F. SCIORTINO, JR.2
1--Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611. 2--Lucent Technologies, Bell Laboratories, Murray Hill, NJ 07974.

KEY WORDS
Electron cyclotron resonance-reactive ion etching (ECR-RIE), GaN, gratings, InGaN
Sub-micron periodic gratings with pitch ~3,000Å were formed in GaN and InGaN using holographic lithography and room temperature electron cyclotron resonance (ECR) BCl3/N2 dry etching at moderate microwave (500 W) and radio frequency (100 W) powers. The process produces uniform gratings without the need for elevated sample temperatures during the etch step.

MOVPE Growth and Optical Properties of GaN Deposited on c-Plane Sapphire
O. BRIOT,1 B. GIL,1 M. TCHOUNKEU,1 R.L. AULOMBARD,1 F. DEMANGEOT,2 J. FRANDON,2 and M. RENUCCI2
1--Centre National de la Recherche Scientifique, Université de Montpellier II - case courtier 074 -34095 Montpellier Cedex 5 France. 2--Laboratoiire de Physique des solides, Université Paul Sabatier, 118 Route de Narbonne 31062 Toulouse Cedex France.

KEY WORDS
GaN, growth, metalorganic vapor phase epitaxy (MOVPE), photoluminescence, Raman spectroscopy
We address combined utilization of temperature dependent reflectance, photoluminescence, and Raman spectroscopy measurements to optimize the structural and electronic properties of GaN epilayers deposited on sapphire. Last, we study residual strain fields in such epilayers.

Highly Anisotropic, Ultra-Smooth Patterning of GaN/SiC by Low Energy Electron Enhanced Etching in DC Plasma
H.P. GILLIS,1 D.A. CHOUTOV,2 K.P. MARTIN2 M.D. BREMSER,3 and R.F. DAVIS3
1--Microelectronics Research Center, Georgia Institute of Technology, Atlanta, GA 30332-0269 and the Department of Chemistry and Biochemistry, UCLA, Los Angeles, CA 90095-1569. 2--Microelectronics Research Center, Georgia Institute of Technology, Atlanta, GA 30332-0269. 3--Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC 27695-7907.

KEY WORDS
Etching, GaN, low energy electron, low energy electron enhanced etching (LE4), SiC
Hetero-epitaxial films of GaN(0001), deposited on SiC(0001) by organometallic vapor phase epitaxy and masked by 200 nm of SiO2, have been patterned by low energy electron enhanced etching (LE4) in hydrogen and chlorine dc plasmas at room temperature. Lines 2.0 µm wide showed highly anisotropic etching: straight side walls, no overcut, no trenching, and no "pedestal" at the base of the line. Root mean square (RMS) surface roughness of the films as grown was 8.5-10Å; after LE4, RMS surface roughness of the etched surfaces was 2.5Å.

Characteristics of GaN Stripes Grown by Selective-Area Metalorganic Chemical Vapor Deposition
X. LI, A.M. JONES, S.D. ROH, D.A. TURNBULL, S.G. BISHOP, and J.J. COLEMAN
Microelectronics Laboratory, University of Illinois, Urbana, IL 61801.

KEY WORDS
Cathodoluminescence (CL), cathodoluminescence imaging, gallium nitride (GaN), metalorganic chemical vapor deposition (MOCVD), selective-area epitaxy
We report on the selective-area metalorganic chemical vapor deposition of GaN stripes in the size range of 50 to 125 µm and the characterization of the morphology, topography, and optical properties of these stripes. GaN films (~1-3 µm) grown on (0001) sapphire are used as the substrates. Excellent surface morphology is achieved under optimized growth conditions which include a higher V/III ratio than broad area growth. It is found that, under certain growth conditions, (0001) terraces of ~5 µm in width develop at the edges of all stripes, independent of stripe size and orientation. The selectively grown GaN yields stronger band-edge emission than the "substrate" GaN which indicates an improvement in optical quality. However, the donor-acceptor pair recombination (or conduction band to acceptor transition) and yellow emission are also enhanced in certain areas of the stripes. The spatial correlation of these emission bands is established by cathodoluminescence wavelength imaging, and the origin of these emissions is speculated.

Tunneling Current and Electroluminescence in InGaN:Zn,Si/AlGaN/GaN Blue Light Emitting Diodes
PETER G. ELISEEV,1,2 PIOTR PERLIN,1,3 JULIEN FURIOLI,1,4 PHILIPPE SARTORI,1,4 JIAN MU,1 and MAREK OSINSKI1
1--Center for High Technology Materials, University of New Mexico, Albuquerque, NM 87131-6081. 2--On leave from P.N. Lebedev Physics Institute, Russian Academy of Sciences, Moscow, Russia. 3--On leave from High Pressure Research Center, Warsaw, Poland. 4--Present address: Ecole Nationale Supérieure des Télécommunications, Paris, France.

KEY WORDS
Gallium nitride, light-emitting diodes, tunneling
We investigate electrical and optical characteristics of Nichia NLPB-500 double-heterostructure blue light-emitting diodes (LEDs), measured over a wide temperature range from 10 to 300K. Current-voltage characteristics have complex character and suggest involvement of at least two different tunneling mechanisms. The peak energy of the optical emission follows the applied bias for voltages between 2.3-2.6 V and can be tuned in large spectral range from 2.3 up to 2.8 eV (yellow to blue). This behavior can be understood invoking the photon-assisted tunneling model which was previously successfully applied to highly doped GaAs LEDs. Even at the lowest temperatures, light emission still continues while the increase in the series resistance does not exceed a few tens of k, which indicates absence of complete carrier freeze-out.

Growth of Zinc-Blende GaN on GaAs (100) Substrates at High Temperature Using Low-Pressure MOVPE with a Low V/III Molar Ratio
ATSUSHI NAKADAIRA and HIDENAO TANAKA
NTT Integrated Information & Energy Systems Laboratories, 3-7-11, Midori-cho, Musashino-shi, Tokyo 180, Japan.

KEY WORDS
GaAs, high temperature growth, low-pressure metalorganic vapor phase epitaxy, low V/III molar ratio, zinc-blende GaN
Zinc-blend GaN films were grown on GaAs (100) substrates by low-pressure metalorganic vapor phase epitaxy using trimethylgallium or triethylgallium and NH3. Films grown at lower temperatures contained considerable amounts of carbon, but the carbon concentration was reduced in high temperature growth. When the film was grown at 950°C using triethylgallium and NH3, its carbon concentration was on the order of 1017 cm-3. The crystalline and optical quality of zinc-blende GaN crystal also improved with high-temperature growth at a low V/III ratio using a thin buffer layer. The films exhibited only one sharp photoluminescence peak at 3.20 eV with a full width at half maximum as low as 70 meV at room temperature.

Photoluminescence Characteristics of GaN/InGaN/GaN Quantum Wells
I.K. SHMAGIN,1 J.F. MUTH,1 R.M. KOLBAS,1 S. KRISHNANKUTTY,2 S. KELLER,3 A.C. ABARE,3 L.A. COLDREN,3 U.K. MISHRA,3 and S.P. DEN BAARS3
1--Electrical and Computer Engineering Department, North Carolina State University, Raleigh, NC 27695. 2--Electrical and Computer Engineering Department, North Carolina State University, Raleigh, NC 27695. Presently with Honeywell Technology Center, Plymouth MN 5541-4479. 3--Electrical & Computer and Material Departments, University of California, Santa Barbara, CA 93106.

KEY WORDS
GaN/InGaN, photoluminescence (PL), quantum wells (QWs)
Photoluminescence (PL) characteristics of GaN/InGaN/GaN single quantum wells (QWs) and an InGaN/GaN single heterojunction were studied using continuous wave (CW) and pulsed photoluminescence in both edge and surface emitting configurations. Samples were grown on c-plane sapphire substrates by atmospheric pressure metalorganic chemical vapor deposition (MOCVD). Room temperature and 77K PL measurements were performed using a CW Ar-ion laser (305 nm) and a frequency tripled (280 nm), pulsed, mode-locked Ti: sapphire laser. CW PL emission spectra from the quantum wells (24, 30, 80Å) were all blue shifted with respect to the reference sample. The difference (i. e., the blue shift) between the measured value of peak emission energy from the QW and the band-edge emission from the reference sample was attributed to quantum size effects, and to strain arising due to a significant lattice mismatch between InGaN and GaN. In addition, stimulated emission was observed from an InGaN/GaN single heterojunction in the edge and surface emitting configuration at 77K. The narrowing of emission spectra, the nonlinear dependence of output emission intensity on input power density, and the observation of a strongly polarized output are presented.

Improvement of Metal-Semiconductor-Metal GaN Photoconductors
Z.C. HUANG,1 D.B. MOTT,2 P.K. SHU,2 J.C. CHEN,3 and D.K. WICKENDEN4
1--NASA Goddard Space Flight Center, Solid State Device Development Branch, Greenbelt, MD 20771. 2--NASA Goddard Space Flight Center, Solid State Device Development Branch, Greenbelt, MD 20771. Also with Hughes STX Corporation, 7701 Greenbelt Road, Suite 400, Greenbelt, MD 20770. 3--Department of Computer Science and Electrical Engineering, University of Maryland, Baltimore County, Baltimore, MD 21228. 4--Applied Physics Lab., The Johns Hopkins University, Laurel, MD 20723.

KEY WORDS
GaN, photoconductors, ultraviolet (UV) detector
Metal-semiconductor-metal photoconductors made on GaN usually exhibit a slow response time and a low responsivity. We have carried out a systematic study on the performance of the photoconductors made from GaN grown by metalorganic chemical vapor deposition using different growth conditions and have found that both response time and responsivity of the GaN detector are improved when the material is grown using increased ammonia flow rates. The best GaN ultraviolet photoconductive detector shows a response time of 0.3 ms and a responsivity of 3200 A/W at 365 nm under an operation bias of 10 V. We attribute this improvement to the reduction of the point defects in GaN.

Ion Implantation Doping of OMCVD Grown GaN
A. EDWARDS,1 MULPURI V. RAO,1 B. MOLNAR,2 A.E. WICKENDEN,2 O.W. HOLLAND,3 and P.H. CHI4
1--Department of Electrical and Computer Engineering, George Mason University, Fairfax, VA 22030. 2--Naval Research Laboratory, Washington DC 20375. 3--Oak Ridge National Laboratory, Oak Ridge, TN 37831. 4--National Institute of Standards and Technology, Gaithersburg, MD 20899.

KEY WORDS
Annealing, gallium nitride, ion implantation, Rutherford back scattering
Doping by ion implantation using Si, O, Mg, and Ca has been studied in single crystal semi-insulating and n-type GaN grown on a-sapphire substrates. The n- and p-type dopants used in this study are Si and O; Mg and Ca, respectively. Room temperature activation of Si and O donors has been achieved after 1150°C annealing for 120 s. The activation of Mg and Ca acceptors is too low to measure at both room temperature and 300°C. Using higher doses to achieve a measurable p-type conduction increases the amount of damage created by the implantation. Rutherford back scattering measurements on this material indicate that the damage is still present even after the maximum possible heat treatment. Secondary ion mass spectrometry measurements have indicated a redistribution in the measured profiles of Mg due to annealing.


Direct questions about this or any other JEM page to (jem@tms.org).

Search Tables of Contents Subscription Information JEM Home Page TMS OnLine