JOURNAL OF ELECTRONIC MATERIALS
ABSTRACTS
Volume 26, Number 10, October 1997

This Month Featuring: Proceedings from the 8th Biennial Workshop on Organometallic Vapor Phase Epitaxy Dana Point, California, April 13-17, 1997. View October 1997 Table of Contents.

SPECIAL ISSUE PAPERS

Foreword
This special issue of the Journal of Electronic Materials contains many of the papers presented at the Eighth Biennial Workshop on Organometallic Vapor Phase Epitaxy, held at Dana Point, CA, April 13-17, 1997. The workshop had ten oral sessions and one poster session, with a total of eighty four papers being presented. There were three sessions on nitrides, two on in situ monitoring, two on quantum structures, and one each on chemistry and modeling, growth mechanisms and ordering, and selective area epitaxy. Papers in all of these areas appear in this issue. We would like to thank the authors, reviewers, and the Journal of Electronic Materials for their efforts in making this special issue possible.

Catherine Caneau
Rajaram Bhat
Bellcore
Red Bank, NJ
Special Issue Editors

The Effect of Substrate Surface Roughness on GaN Growth Using MOCVD Process
DONGWHA KUM1 and DONGJIN BYUN2
1--Division of Metals, Korea Institute of Science and Technology, P.O. Box 131, Cheongryang, Seoul 130-650, Korea. 2--Department of Materials Science and Engineering, Korea University, 1 Anam-dong 5-ka, Sungbook-ku, Seoul 136-701, Korea

KEY WORDS
AFM roughness, GaN-buffer layer, nitridation
Efficiency and lifetime of light emitting diodes and laser diodes inversely depend on defect density of the crystal, and reduction of defect density is accomplished by a proper choice of substrate or a deliberate modification of the substrate surface. Buffer growth or nitridation can yield an atomically flat surface and the roughness of a substrate surface for GaN deposition can be controlled by either method such that lateral film growth can be promoted. The effect of nanoscale surface roughness on photoluminescence and crystal quality of GaN/Al2O3 (0001) has been studied. The optimal conditions for N2-nitridation or/and GaN-buffer growth correlate well with the minimum surface roughness and surface morphology as determined by atomic force microscopy and it is suggested that this can be used for process optimization of GaN film growth.

Characterization of MOVPE-Grown (Al, In, Ga) N Heterostructures by Quantitative Analytical Electron Microscopy
H. LAKNER,1 G. BROCKT,1 C. MENDORF,1 A. RADEFELD,* F. SCHOLZ,2 V. HäRLE,2 J. OFF,2 and A. SOHMER2
1--Werkstoffe der Elekrotechnik, Universität Duisburg, 47048 Duisburg, Germany. 2--4 Physikalisches Institut, Universität Stuttgart, 70550 Stuttgart, Germany

KEY WORDS
Convergent beam electron diffraction (CBED), InGaN, quantum well, STEM, Z-contrast
The low pressure metalorganic vapor phase epitaxy growth of wurzite (Al, In, Ga)N heterostructures on sapphire substrates is investigated by quantitative analytical scanning transmission electron microscopy techniques like atomic number (Z-) contrast imaging and convergent beam electron diffraction (CBED). Especially (In, Ga)N quantum wells of different thicknesses as well as superlattices were analyzed with respect to defects, chemical composition variations, interface abruptness and strain (relaxation) effects. The interfaces in In0.12Ga0.88N/GaN quantum wells appear to be asymmetric. Additionally, we found composition variations of xIn 0.03 within the InGaN quantum wells. The application of electron diffraction techniques (CBED) yields quantitative information on strain and relaxation effects. For the case of 17 nm thick InGaN quantum wells, we observed relaxation effects which are not present in the investigated thin quantum wells of 2 nm thickness. The experimentally obtained diffraction patterns were compared to simulations in order to get values for strain within the quantum wells. Additionally, the influence of dislocations on the digression of superlattices is investigated.

Stability and Interface Abruptness of InxGa1-xN/InyGa1-yN Multiple Quantum Well Structures Grown by OMVPE
J.C. RAMER, D. ZUBIA, G. LIU, and S.D. HERSEE
Center for High Technology Materials, University of New Mexico, Albuquerque, NM 87106

KEY WORDS
Grazing incidence x-ray reflectivity (GIXR), InGaN quantum wells, metalorganic chemical vapor deposition (MOCVD), x-ray diffraction (XRD)
The abruptness of hetero-interfaces in InGaN multiple quantum well structures is shown to degrade when a high temperature growth follows growth of the multiple quantum well (MQW) region, as is generally required for the growth of full device structures. We have analyzed MQW samples both with and without high temperature GaN "cap" layers, using x-ray diffraction (XRD), grazing incidence x-ray reflection (GIXR), and photoluminescence. While all of these techniques indicate a degradation of the MQW structure when it is followed by growth at high temperature, GIXR is shown to be especially sensitive to changes of heterointerface abruptness. GIXR measurements indicate that the heterojunctions are less abrupt in samples that have high temperature cap layers, as compared to samples with no cap layer. Furthermore, the degree of roughening is found to increase with the duration of growth of the high temperature cap layer. The degradation of the heterointerfaces is also accompanied by a reduction in the intensity of satellite peaks in the x-ray diffraction spectrum.

Nucleation and Growth Behavior for GaN Grown on (0001) Sapphire via Multistep Growth Approach
J.T. KOBAYASHI, N.P. KOBAYASHI, and P.D. DAPKUS
Compound Semiconductor Laboratory, Departments of Materials Science and Electrical Engineering/Electrophysics, University of Southern California, Los Angeles, CA 90089-0483

KEY WORDS
GaN, Hall measurement, metalorganic chemical vapor deposition (MOCVD), sapphire substrate, x-ray diffraction (XRD)
Formation and coalescence of GaN truncated three dimensional islands (TTIs) on (0001) sapphire are observed during growth of GaN using a close spaced metalorganic chemical vapor deposition reactor. To encourage formation of TTIs to occur uniformly over the buffer layer, growth conditions are chosen under which thermal desorption and/or mass transport of the buffer layer can be suppressed. During coalescence of TTIs, growth conditions that favor higher desorption of species on the GaN (0001) surface and incorporation on other planes are beneficial. Therefore, changing the growth conditions as the growth mode changes is effective to obtain both good crystallinity and flat surface morphology.

Flow Modulation Epitaxy of Indium Gallium Nitride
S. KELLER, U.K. MISHRA, and S.P. DENBAARS
Electrical & Computer Engineering and Materials Departments, University of California, Santa Barbara, CA 93106

KEY WORDS
Atomic force microscopy, flow modulation epitaxy, indium gallium nitride (InGaN), metalorganic chemical vapor deposition (MOCVD), photoluminescence
InGaN layers were grown on GaN films by flow modulation epitaxy (FME) using the precursors trimethylgallium, trimethylindium, and ammonia. The indium composition of the FME grown layers was generally lower than of films grown under the same conditions in the continuous growth mode, but which had been of poor optical quality. The indium incorporation efficiency increased with decreasing ammonia flush time, increasing ammonia flow during group-III injection, and increasing group-III precursor injection time. Films grown under optimized conditions showed intense band edge related luminescence at room temperature up to a wavelength of 465 nm. Atomic force microscopy investigations revealed a strong dependence of the surface morphology of the InGaN films on the growth mode.

Al-Ga-In-Nitride Heterostructures: MOVPE Growth in Production Reactors and Characterization
R. BECCARD,1 O. SCHOEN,1 B. WACHTENDORF,1 D. SCHMITZ,1 and H. JUERGENSEN,1 E. WOELK2
1--AIXTRON GmbH, Kackertstrasse 15-17, D-52072 Aachen, Germany. 2--AIXTRON Inc., 1569 Barclay Blvd., Buffalo Grove, IL 60089

KEY WORDS
III-nitrides, blue emitters, mass-production, metalorganic chemical vapor deposition (MOCVD)
Various Al-Ga-In Nitride alloys have been grown in AIXTRON Planetary Reactors®. GaN is grown with an excellent optical quality and very good thickness uniformity. GaInN with photoluminescence emission wavelengths in the visible blue region have also been produced. AlGaN is demonstrated as the material with the widest bandgap. Furthermore, we will present data on doped material. Characterization of the heterostructures by photoluminescence and sheet resistivity measurements is presented as well.

Electrical and Optical Properties of Oxygen Doped GaN Grown by MOCVD Using N2O
R. NIEBUHR,1,* K.H. BACHEM,1 U. KAUFMANN,1 M. MAIER,1 C. MERZ,1 B. SANTIC,1,+ P. SCHLOTTER,1 and H. JüRGENSEN2

1--Fraunhofer-Institute for Applied Solid State Physics, Tullastrasse 72, 79108 Freiburg, Germany. 2--AIXTRON Semiconductor Technologies, Kackertstrasse 15-17, 52072 Aachen, Germany
*Present address: AIXTRON Semiconductor Technologies, Kackertstrasse 15-17, 52072 Aachen, Germany
+Permanent address: R. Boskovic Institute, Zagreb, Croatia

KEY WORDS
Doping, GaN, Hall, metalorganic chemical vapor deposition (MOCVD), nitrogen vacancy, oxygen, photoluminescence (PL)
Oxygen doped GaN has been grown by metalorganic chemical vapor deposition using N2O as oxygen dopant source. The layers were deposited on 2'' sapphire substrates from trimethylgallium and especially dried ammonia using nitrogen (N2) as carrier gas. Prior to the growth of the films, an AlN nucleation layer with a thickness of about 300Å was grown using trimethylaluminum. The films were deposited at 1085°C at a growth rate of 1.0 µm/h and showed a specular, mirrorlike surface. Not intentionally doped layers have high resistivity (> 20 kW/square). The gas phase concentration of the N2O was varied between 25 and 400 ppm with respect to the total gas volume. The doped layers were n-type with carrier concentrations in the range of 4 x 1016 cm-3 to 4 x 1018 cm-3 as measured by Hall effect. The observed carrier concentration increased with increasing N2O concentration. Low temperature photoluminescence experiments performed on the doped layers revealed besides free A and B exciton emission an exciton bound to a shallow donor. With increasing N2O concentration in the gas phase, the intensity of the donor bound exciton increased relative to that of the free excitons. These observations indicate that oxygen behaves as a shallow donor in GaN. This interpretation is supported by covalent radius and electronegativity arguments.

The Use of Atmospheric Pressure MOVPE for the Growth of High Performance Uncooled 1300 nm DFB Lasers
A.J. TAYLOR, A.S. BRIDGES, J. HARDWICK, H. LAGE, R.H. MOSS and W.S. RING
Hewlett Packard Ltd., White House Road, Ipswich, Suffolk. IP1 5PB, United Kingdom

KEY WORDS
Distributed feedback lasers, grating layers, mass transport, overgrowth, strained quantum well
Manufacture of high performance uncooled 1300 nm distributed feed-back (DFB) lasers operating single mode over the -40 to +85°C range requires control of the wavelength variation across a 2'' wafer to less than 10 nm and preservation of grating definition during processing and regrowth. We have used atmospheric pressure metalorganic vapor phase epitaxy, without substrate rotation to achieve the necessary uniformity. Material was assessed using photoluminescence, x-ray diffraction, transmission electron microscopy, electrochemical current/voltage profiling, and secondary ion mass spectroscopy. The devices are based on a strained quantum well structure with an n-type grating layer to provide gain coupling. The best result gave a wavelength spread across 32 x 32 mm center square of a 2'' InP wafer of 3 nm. Buried heterostructure DFBs manufactured with high yield in this way operate from -40 to +85°C, with thresholds at 85°C as low as 18 mA.

GaAs Microlens Arrays Grown by Shadow Masked MOVPE
G.M. PEAKE, S.Z. SUN, and S.D. HERSEE
1313 Goddard SE, Albuquerque, NM 87106

KEY WORDS
Nonplanar metalorganic vapor phase epitaxy (MOVPE), microlens, microlens array, optical interconnect, shadow masked MOVPE growth, shadow masked metalorganic vapor phase epitaxial growth (SMMG)
This paper describes the fabrication of high quality GaAs microlenses and microlens arrays using shadow masked metalorganic vapor phase epitaxial (MOVPE) growth (SMMG). Microlenses with apertures as small as 30 um were fabricated and focal lengths down to 40 µm were measured. The smaller lenses closely fit the theoretical behavior of ideal spherical lenses while larger lenses (focal length >80 µm) showed a more complex physical shape and could not be modeled as spherical. This deviation from a spherical shape is expected from simulation of SMMG. The full width at half maximum of the beam waist was <2 um for all sizes of microlens indicating that these lenses are compatible with coupling to single mode fibers.

Metalorganic Vapor Phase Epitaxial Growth of All-AlGaAs Visible (~700 nm) Vertical-Cavity Surface-Emitting Lasers on Misoriented Substrates
H.Q. HOU, M. HAGEROTT CRAWFORD, B.E. HAMMONS, and R.J. HICKMAN
Center for Compound Semiconductor Technology, Sandia National Laboratories, MS 0603, Albuquerque, NM 87185

KEY WORDS
Metalorganic vapor phase epitaxy (MOVPE), substrate misorientation, vertical-cavity surface-emitting laser (VCSEL), (311)A
We present a study on the growth of visible (~700 nm) vertical-cavity surface-emitting lasers (VCSELs) by metalorganic vapor phase epitaxy. The structure was based on AlGaAs for both the quantum well active region and the distributed Bragg reflectors. Photoluminescence intensity from AlGaAs quantum wells was optimized vs the substrate misorientations from the (100) surface. The doping efficiency for n-type by Si and p-type by C was studied as a function of the substrate misorientation and the growth temperature. High-quality VCSEL materials were grown on (311)A substrates. The structure was processed by selective oxidation, and high-performance VCSELs emitting at ~700 nm were achieved in a continuous-wave mode at room temperature.

In-situ Monitoring and Control for MOCVD Growth of AlGaAs and InGaAs
A. KUSSMAUL,1 S. VERNON,1 P.C. COLTER,1 R. SUDHARSANAN,1 A. MASTROVITO,1 K.J. LINDEN,1 N.H. KARAM,2 S.C. WARNICK,3 and M.A. DAHLEH3
1--Spire Corporation, One Patriots Park, Bedford, MA 01730. 2--Spectrolab Inc., 12500 Gladstone Ave., Sylmar, CA 91342. 3--Department of Electrical Engineering and Computer Science, MIT, 77 Massachusetts Ave., Cambridge, MA 02139

KEY WORDS
AlGaAs, feedback control, InGaAs, metalorganic chemical vapor deposition (MOCVD), optical constants, spectroscopic ellipsometry
We have used spectroscopic ellipsometry to perform real-time monitoring during metalorganic chemical vapor deposition growth of AlGaAs (on GaAs) and InGaAs (on GaAs and InP). Optical constants for these materials were obtained up to growth temperatures of 600 to 700°C. This information permits real-time extraction of composition and layer thickness from the raw ellipsometric data at sample rates on the order of 0.5 Hz. We describe closed-loop control of composition and total layer thickness on AlGaAs-based structures, including Bragg reflectors. In-situ data obtained on double-heterostructure quantum-well laser structures demonstrate that spectroscopic ellipsometry is an extremely powerful monitoring and quality-control tool, giving important real-time information on complex structures that would be difficult and time-consuming to obtain after growth.

On-line Growth Monitoring of InP-Based Device Structures by Reflectance Anisotropy Spectroscopy
P. KURPAS, M. SATO,* A. KNAUER, and M. WEYERS
Ferdinand-Braun-Institut für Höchstfrequenztechnik Berlin, Rudower Chaussee 5, D-12489 Berlin, Germany
*Permanent address: NTT Basic Research Laboratories, Atsugi, Japan

KEY WORDS
Composition, doping, GaInAsP, InP, in-situ monitoring, metalorganic vapor phase epitaxy (MOVPE), reflectance anisotropy spectroscopy (RAS)
Reflectance anisotropy spectroscopy (RAS) has been used to study the metalorganic vapor phase epitaxy growth process for GaxIn1-xAsyP1-y/InP light emitting diodes. The sensitivity of RAS to morphology changes is demonstrated by InP growth on different InP:Fe substrates. RAS reveals not only development of dull surfaces but also detects initial temporary roughness of mirror-like layers. Based on the RAS results the substrate preparation was optimized. RAS spectra measured on n- and p-type InP and p-type GaInAsP during light emitting diodes production are suitable for finger-printing of the growth process. Spectra from InP:Si and InP:Zn layers show characteristic features near 4.3 eV which allow for assessment of doping level at growth temperature (640°C). Correlation of RAS spectra and transients during growth with the quaternary composition was achieved. A change in composition of only x = 0.01, y = 0.03 corresponding to a shift of photoluminescence-peak position by 16 nm was detectable in RAS spectra. The results demonstrate the high sensitivity and thus the suitability of RAS for on-line control during growth of device structures.

Formation of GaAsP Interface Layers Monitored by Reflectance Anisotropy Spectroscopy
P. KURPAS,1 A. OSTER,1 M. WEYERS, 1 A. RUMBERG,2 K. KNORR,2 and W. RICHTER2
1--Ferdinand-Braun-Institut für Höchstfrequenztechnik Berlin, Rudower Chaussee 5, D-12489 Berlin, Germany. 2--Institut für Festkörperphysik, TU Berlin, Sekr. PN 6-1, Hardenbergstr. 36, D-10623 Berlin, Germany

KEY WORDS
GaAs, GaAsP, interface layer, in-situ monitoring, metalorganic vapor phase epitaxy (MOVPE), reflectance anisotropy spectroscopy (RAS)
Reflectance anisotropy spectroscopy (RAS) has been used to study As-by-P exchange during metalorganic vapor phase epitaxy. The study focuses on the processes occurring during switching from GaAs to GaInP, especially the effect of purging PH3 over a GaAs surface. GaAsP/GaAs superlattices of different periodicity were grown and the P-content was determined by high-resolution x-ray diffraction and correlated to the RAS spectra. From the temperature dependence of the P-content, an activation energy of 0.56 eV was estimated for the incorporation mechanism. In addition to the insights into the processes at mixed group-V heterointerfaces, our study demonstrates the reproducibility of RAS transients that thus can be used for process monitoring.

Surface Photoabsorption Monitoring of the Growth of GaAs and InGaAs at 650°C by MOCVD
Y.D. KIM,1 F. NAKAMURA,2 E. YOON,3 D.V. FORBES,4 X. LI,4 and J.J. COLEMAN4
1--Department of Physics, Kyung Hee University, Seoul, 130-701, Korea. 2--SONY Corporation Research Center, Yokohama 240, Japan. 3--School of Materials Science and Engineering, Seoul National University, Seoul, 151-742, Korea. 4--Microelectronics Laboratory and Materials Research Laboratory, University of Illinois, Urbana, IL 61801

KEY WORDS
GaAs, InGaAs, metalorganic chemical vapor deposition (MOCVD), photoluminescence (PL), surface photoabsorption
By monitoring the cyclic behavior of surface photoabsorption (SPA) reflectance changes during the growth of GaAs at 650°C and with sufficient H2 purging time between the supply of trimethylgallium and AsH3, we have been able to achieve controlled growth of GaAs down to a monolayer. Our results show, as confirmed by photoluminescence (PL) measurements, the possibility of growing highly accurate quantum well heterostructures by metalorganic chemical vapor deposition at conventional growth temperatures. We also present our PL measurements on the InGaAs single quantum wells grown at this temperature by monitoring the SPA signal.

Ligand Exchange Reactions in Organometallic Vapor Phase Epitaxy
M.J. KAPPERS, M.L. WARDDRIP, K.J. WILKERSON, and R.F. HICKS
Department of Chemical Engineering, 5531 Boelter Hall, University of California at Los Angeles, Los Angeles, CA 90095-1592

KEY WORDS
Alkyl ligand exchange reactions, CdZnTe, infrared spectroscopy (IR), InGaAs, organometallic vapor phase epitaxy (OMVPE), x-ray diffraction (XRD)
The organometallic vapor phase epitaxy (OMVPE) of CdZnTe and InGaAs alloys has been studied using on-line infrared spectroscopy and ex-situ x-ray crystallography. During II-VI OMVPE, ligand exchange reactions between dimethylcadmium and diethylzinc produce more reactive ethylcadmium species, and less reactive methylzinc species. During III-V OMVPE, reactions between trimethylindium and triethylgallium produce more reactive ethylindium compounds and less reactive methylgallium compounds. The large difference in reactivities of these sources makes it difficult to control the group II and III composition during CdZnTe and InGaAs OMVPE.

Trisneopentylgallium as a Precursor for Atomic Layer Epitaxy of GaAs
P. YEO,1 R. ARèS,1 S.P. WATKINS1 G.A. HORLEY2 P. O'BRIEN2 and A.C. JONES3
1--Department of Physics, Simon Fraser University, Burnaby BC, V5A 1S6, Canada. 2--Department of Chemistry and IRC for Semiconducting Materials, Imperial College, London, UK. 3--Epichem Limited, Wirral, Merseyside, L35 7JW, UK

KEY WORDS
Atomic layer epitaxy (ALE), gallium arsenide, trineopentylgallium
We report the use of a new precursor, trisneopentylgallium (NPG) for the growth of GaAs by atomic layer epitaxy (ALE). In contrast to most other alkyl gallium precursors such as triethylgallium, which decompose via a -hydride elimination mechanism, this compound undergoes homolysis similar to that of trimethylgallium (TMGa), the normal choice as an ALE precursor. Clear self-limiting growth behavior similar to that of TMGa was observed over a reasonably wide range of growth conditions (430-500°C). Carbon incorporation was not significantly reduced compared with TMGa suggesting that the adsorbed neopentyl radicals undergo decomposition to result in a methyl terminated surface identical to that obtained for growth with TMGa.

Growth Study of AlGaAs Using Dimethylethylamine Alane as the Aluminum Precursor
H.Q. HOU,1 W.G. BREILAND,1 B.E. HAMMONS,1 R.M. BIEFELD,1 and K.C. BAUCOM1 and R.A. STALL2
1--Sandia National Laboratories, MS 0603, Albuquerque, NM 87185. 2--EMCORE Corporation, 394 Elizabeth Ave., Somerset, NJ 08873

KEY WORDS
Dimethylethylamine alane (DMEAA), high-purity AlGaAs, metalorganic vapor phase epitaxy (MOVPE)
We present a comprehensive study on the growth of AlGaAs by using an alternative Al precursor, dimethylethylamine alane (DMEAA), and a Ga co-precursor, either triethylgallium (TEG) or trimethylgallium (TMG). The growth rate of AlAs determined by using in situ reflectometry was studied as a function of the growth temperature, V/III ratio, growth pressure, and rotation speed of the substrate. The presence of gas phase reactions of DMEAA with arsine and TEG was indicated, and their reduction was achieved at a lower growth pressure, lower V/III ratio, or a lower growth temperature. Negligible pre-reaction of DMEAA with TMG was observed. Excellent material uniformity of AlGaAs was achieved on a 2'' diameter wafer. Secondary ion mass spectroscopy measurements revealed extremely low C and O contents in the AlAs layer grown by DMEAA. Photoluminescence measurements suggested the presence of some non-radiative defects in the as-grown DMEAA AlGaAs layers.

Role of High Energy Photons in Dual Spectral Source Rapid Isothermal CVD
R. SINGH1 and Y. CHEN2
1--Department of Electrical and Computer Engineering, Material Science and Engineering Program, Clemson University, Clemson, SC 29634-0915. 2--Department of Electrical and Computer Engineering, Clemson University, Clemson, SC 29634-0915

KEY WORDS
Dielectrics, metalorganic chemical vapor deposition (MOCVD), rapid isothermal processing (RIP), Ta2O5
The use of tungsten halogen lamps and the deuterium lamp as the source of thermal and optical energies has been exploited to deposit thin films of Ta2O5 on Si and conducting substrates. The leakage current densities are as low as 10-10 A/cm2 for gate voltage under 5V. Photons in visible, ultraviolet, and vacuum ultraviolet ( < 800 nm) regions provide higher bulk and surface diffusion coefficients as well as reduced activation energy for the chemical process involved in the chemical vapor deposition process. The low thermal mass of the substrate provides limited reaction processing capability. The photochemical and photophysical processes allow the participating atoms and molecules to adjust their bond geometries and occupy sites which result in overall reduction of stress and strain energy and provide materials with overall low microscopic defects at low processing temperature and with high throughput. New experimental results of Al-Ta2O5-Si3N4-poly Si-Al structure are presented. The leakage current-voltage characteristics are better than those reported by other researchers.

Mechanism of Doping Gallium Arsenide with Carbon Tetrachloride During Organometallic Vapor-Phase Epitaxy
M.L. WARDDRIP, M.J. KAPPERS, L. LI, H. QI, B.K. HAN, S. GAN, and R.F. HICKS
Department of Chemical Engineering, 5531 Boelter Hall, University of California at Los Angeles, Los Angeles, CA 90095-1592

KEY WORDS
Carbon doping, carbon tetrachloride, GaAs (001), organometallic vapor phase epitaxy (OMVPE), TPD, scanning tunneling microscopy (STM)
The rates of decomposition of carbon tetrachloride (CCl4), triethylgallium (TEGa), and tertiarybutylarsine (TBAs), and the rate of GaAs film growth, were measured as a function of the process conditions during organometallic vapor phase epitaxy. In addition, the reaction of CCl4 with the GaAs(001) surface was monitored in ultrahigh vacuum using infrared spectroscopy, temperature-programmed desorption, and scanning tunneling microscopy. These experiments have revealed that CCl4 adsorbs onto Ga sites, and decomposes by transferring chlorine ligands to other Ga atoms on the surface. Chlorine and gallium desorb from the surface as GaCl, while the carbon incorporates into the lattice. Triethylgallium is consumed by two competing reactions: GaAs film growth and GaCl etching. Depending on the V/III and IV/III ratios and temperature, the etch rate can be high enough to prevent any GaAs deposition.

Self-Limiting OMCVD Growth of GaAs on V-Grooved Substrates with Application to InGaAs/GaAs Quantum Wires
GIORGIO BIASIOL, FRANK REINHARDT, ANDERS GUSTAFSSON,* and ELI KAPON
Institut de Micro-et Optoélectronique, Département de Physique, École Polytechnique Fédérale de Lausanne, CH 1015, Lausanne, Switzerland
*Present address: Division of Solid State Physics, Lund University, Box 118, S-221 00 Lund, Sweden

KEY WORDS
Nonplanar epitaxy, quantum wires, self-limiting growth
We demonstrate that the formation of GaAs quantum wires on self-limiting AlGaAs grown on V grooves occurs via a transient increase of the growth rates in a set of different nanofacets. Upon growth of sufficiently thick layers on AlGaAs, the GaAs surface reaches a self-limiting profile as well, through an equalization of the relative growth rates on these facets. Atomic force microscopy studies show that the step density in the facets along the groove evolves with GaAs thickness in the same way as the facets extension, thus suggesting a role of the step distribution in the establishment of the self-limiting profiles. The self-limiting GaAs groove profile is much broader than the AlGaAs one at corresponding growth temperatures; however, it can be sharpened down to a radius of curvature of 5 nm for T = 550°C. Under these conditions, GaAs was successfully used as a barrier material for growing vertical arrays of self-ordered InGaAs wires.

Growth of Direct Bandgap GaInP Quantum Dots on GaP Substrates
JONG-WON LEE, ALFRED T. SCHREMER, DAN FEKETE, JAMES R. SHEALY, and JOSEPH M. BALLANTYNE
Phillips Hall, School of Electrical Engineering, Cornell University, Ithaca NY 14853

KEY WORDS
Epitaxial growth, GaInP, GaP substrates, metalorganic chemical vapor deposition (MOCVD), semiconductor quantum dots
GaInP has a direct bandgap for In concentrations higher than approximately 30%, and the band-lineup between GaInP and GaP is type-II for In concentrations less than 60%. Therefore, in order to use GaInP as the active light-emitting layer in an optoelectronic device grown on GaP, the strain induced by the lattice mismatch between GaInP and GaP has to be somehow managed such that formation of crystal defects is suppressed. One method is to grow the layer thinner than the critical thickness. Another method that recently received much attention is to grow strain-induced Stranski-Krastanov islands (sometimes referred to as self-assembled quantum dots). Small droplets of highly lattice-mismatched materials have been embedded into single crystals without generating defects such as threading dislocations and stacking faults using this method. We have grown a series of GaInP/GaP layers by metalorganic chemical vapor deposition and have studied the light emission from them. Ordered GaInP islands were found to be responsible for the light emission. We present the light emission characteristics of these ordered GaInP/GaP islands, and their dependence on various growth parameters.

Metalorganic Vapor Phase Epitaxial Growth and Structural Characterization of Self-Assembled InAs Nanometer-Sized Islands on InP(001)
H. MARCHAND,* P. DESJARDINS,+ S. GUILLON, J.-E. PAULTRE, Z. BOUGRIOUA, R.Y.-F. YIP, and R.A. MASUT
Groupe de Recherche en Physique et Technologie des Couches Minces (GCM), Département de Génie Physique, Ecole Polytechnique de Montréal, C.P. 6079, Succursale "Centre-Ville", Montréal (Québec) Canada H3C 3A7
*Present address: Department of Electrical and Computer Engineering, University of California, Santa Barbara, CA 93106.
+Present address: Coordinated Science Laboratory, University of Illinois at Urbana-Champaign, 1101 West Springfield Avenue, Urbana, IL 61801

KEY WORDS
Indium arsenide, indium phosphide, organometallic vapor phase epitaxy, self-assembled islands, strain relaxation, surface morphology
Self-assembled InAs islands were grown by metalorganic vapor phase epitaxy on InP(001) and characterized by atomic force microscopy and transmission electron microscopy. The growth temperature (450-600°C), the InAs deposition time (3-12 s, using a growth rate of ~2.3Å/s), and the growth interruption time (8-240 s) were varied systematically in order to investigate the effect of thermodynamic and kinetic factors on the structural properties of InAs/InP and InP/InAs/InP structures. It is found that the structural properties of islands vary widely with the growth conditions, ranging from very small (4-5 nm height, ~30-60 nm in diameter) coherent islands at low temperature (450-500°C) to large (~350 nm wide) plastically relaxed islands at high temperature (600°C). For a given deposition time, the height of the coherent islands increases markedly with the growth temperature while their diameter shows only a moderate increase. The growth interruption time also affects the formation and the evolution of islands, which clearly shows that these processes are kinetically limited. Coherent islands with structural properties suitable for use in optoelectronic devices are obtained from ~2.4-4.8 monolayer thick InAs layers using a growth temperature of 500°C and a 30 s interruption time.

Formation of a Step-Free InAs Quantum Well Selectively Grown on a GaAs (111)B Substrate
TOSHIO NISHIDA and NAOKI KOBAYASHI
NTT Basic Research Laboratories, 3-1, Morinosato Wakamiya, Atsugi-shi, Kanagawa, 243-01 Japan

KEY WORDS
(111)B, GaAs, metalorganic vapor phase epitaxy (MOVPE), selective growth, surface photo-absorption, two-dimensional nucleation
We investigated the possibility of forming a step-free quantum well structure. A step-free InAs monolayer was grown on a selectively grown mesa by controlling surface phases with in-situ monitoring of surface photo-absorption. We selectively grew a GaAs buffer at 800°C and cooled the sample keeping the (2 x 2)-like As stabilized surface. Atomic force microscopy (AFM) observation demonstrated that fully step-free surfaces were formed on the 8 µm wide mesa. Then, a monolayer-thick InAs was formed on this step-free surface and this InAs layer was capped by GaAs under the (2 x 2)-like condition. The quantum level of the step-free InAs layer was evaluated by spatially resolved photoluminescence (µPL) measurement. Uniform PL intensity and the lack of a double layer peak indicated the formation of a step-free InAs quantum well, which was in good agreement with AFM observation.

MOVPE Growth of InPSb/InAs Heterostructures for Mid-Infrared Emitters
M. HEUKEN,1 C.V. EICHEL-STREIBER,1 A. BEHRES,1 B. SCHINELLER,1 K. HEIME,1 C. MENDORF,2 G. BROCKT,2 and H. LAKNER2
1--Institut für Halbleitertechnik, RWTH Aachen, Templergraben 55, D-52056 Aachen, Germany. 2--Werkstoffe der Elektrotechnik, Gerhard Mercator Universitat Duisburg, Bismarckstrasse 84, D-47048 Duisburg, Germany

KEY WORDS
InPSb, metalorganic vapor phase epitaxy (MOVPE), mid-infrared-emitters
We investigated the growth of InPSb on GaSb or InAs by low pressure (20 mbar) metalorganic vapor phase epitaxy (MOVPE). Trimethylindium, triethylantimony, and phosphine were used as starting materials. High resolution x-ray diffraction, photoluminescence at 10K, Hall measurements at 300 and 77K as well as scanning electron microscopy and scanning tunneling electron microscopy investigations were carried out to verify the layer properties. Lattice-matched InPSb layers on InAs substrate grown at 520°C show mirror-like surfaces and sharp x-ray peaks. N-type doping of InP0.69Sb0.31 was carried out with H2S and p-type doping was achieved with DEZn. Maximum electron concentrations of 2 x 1019 cm-3 and hole concentrations exceeding 1018 cm-3 were obtained after annealing in N2 ambient. The thermal stability of InPSb was studied during annealing experiments carried out at 500°C up to 30 min. The compositional integrity of the lattice proves to be stable and the InAs/InPSb interface can be improved. Multiple quantum well structures, pn-junction diodes and the two-dimensional electron gas at the InPSb/InAs/InPSb quantum wells were investigated to demonstrate the properties of the material.

The Growth of InAsSb/InAsP Strained-Layer Superlattices for Use in Infrared Emitters
R.M. BIEFELD,1 A.A. ALLERMAN,1 and S.R. KURTZ,1 and J.H. BURKHART2
1--Sandia National Laboratory, Albuquerque, NM 87185-0601. 2--Idaho State University, Pocatello, ID 83201

KEY WORDS
InAsSb, metalorganic chemical vapor deposition (MOCVD), mid-infrared lasers, strained-layer superlattices
We describe the metalorganic chemical vapor deposition of InAsSb/InAsP strained-layer superlattice (SLS) active regions for use in mid-infrared emitters. These SLSs were grown at 500°C, and 200 Torr in a horizontal quartz reactor using TMIn, TESb, AsH3, and PH3. By changing the layer thickness and composition, we have prepared structures with low temperature (20K) photoluminescence wavelengths ranging from 3.2 to 4.4 µm. Excellent performance was observed for a SLS light emitting diode (LED) and both optically pumped and electrically injected SLS lasers. An optically pumped, double heterostructure laser emitted at 3.86 µm with a maximum operating temperature of 240K and a characteristic temperature of 33K. We have also made electrically injected lasers and LEDs utilizing a GaAsSb/InAs semi-metal injection scheme. The semi-metal injected, broadband LED emitted at 4 um with 80 uW of power at 300K and 200 mA average current. The InAsSb/InAsP SLS injection laser emitted at 3.6 µm at 120K.

OMVPE Growth of GaInAsSb/AlGaAsSb for Quantum-Well Diode Lasers
C.A. WANG and H.K. CHOI
Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, MA 02173-9108

KEY WORDS
AlGaAsSb, antimonides, diode lasers, GaInAsSb, mid-infrared, multiple quantum wells (MQW), organometallic vapor phase epitaxy (OMVPE)
GaInAsSb and AlGaAsSb alloys have been grown by organometallic vapor phase epitaxy (OMVPE) using all organometallic sources, which include tritertiarybutylaluminum, triethylgallium, trimethylindium, tertiarybutylarsine (TBAs), and trimethylantimony. Excellent control of lattice-matching both alloys to GaSb substrates is achieved with TBAs. GaInAsSb/AlGaAsSb multiple quantum well (MQW) structures grown by OMVPE exhibit strong 4K photoluminescence with full width at half maximum of 10 meV, which is comparable to values reported for quantum well (QW) structures grown by molecular beam epitaxy. Furthermore, we have grown GaInAsSb/AlGaAsSb MQW diode lasers which consist of n- and p-doped Al0.59Ga0.41As0.05Sb0.95 cladding layers, Al0.28Ga0.72As0.02Sb0.98 confining layers, and four 15 nm thick Ga0.87In0.13As0.12Sb0.88 quantum wells with 20 nm thick Al0.28Ga0.72As0.02Sb0.98 barrier layers. These lasers, emitting at 2.1 µm, have exhibited room-temperature pulsed threshold current densities as low as 1.2 kA/cm2.

Growth of Tin-Doped Indium Antimonide for Magnetoresistors
D.L. PARTIN, L. GREEN, J. HEREMANS, and C.M. THRUSH
Physics and Physical Chemistry Department, GM Research and Development Center, Warren, MI 48090-9055

KEY WORDS
Electron mobility, epitaxy, organometallic, sensor, trisdimethylaminoantimony
Magnetoresistors made from n-type indium antimonide are of interest for magnetic position sensing applications. In this study, tin-doped indium antimonide was grown by the metalorganic chemical vapor deposition technique using trimethylindium, trisdimethylaminoantimony, and tetraethyltin in a hydrogen ambient. Using a growth temperature of 370°C and a pressure of 200 Torr, it was found that the electron density in tin-doped films varied from 3.3 x 1016 cm-3 to 4.0 x 1017 cm-3 as the 5/3 ratio was varied from 4.8 to 6.8. From secondary ion mass spectroscopy (SIMS) studies, it was found that this variation is not caused by a change in site occupancy of the tin atoms from antimony to indium lattice sites, but rather to a change in the total tin concentration incorporated into the films. This dependence of tin incorporation on stoichiometry could be used to rapidly vary the doping level during growth. Undoped films grown under similar conditions had electron densities of about 2 x 1016 cm-3 and electron mobilities near 50,000 cm2V-1s-1 at room temperature for films that were only 1.5 µm thick on a gallium arsenide substrate. Attempts to grow indium antimonide at 280°C resulted in p-type material caused by carbon incorporation. The carbon concentration as measured with SIMS increased rapidly with increasing growth rate, to above 1019 cm-3 at 0.25 µm/h. This is apparently caused by incomplete pyrolysis of a reactant at this low growth temperature. Growth at 420°C resulted in rough surface morphologies. Finally, it was demonstrated that films with excellent electron mobility and an optimized doping profile for magnetoresistors can be grown.

Effects of Group V Precursor and Step Structure on Ordering in GaInP
S.H. LEE, YU HSU, and G.B. STRINGFELLOW
Department of Materials Science and Engineering, University of Utah, Salt Lake City, UT 84112

KEY WORDS
GaInP, order, organometallic vapor phase epitaxy (OMVPE), surface steps
The effects of the P precursor have been studied for GaInP layers grown at 670°C on singular (001) GaAs substrates. Use of either of the two precursors, tertiarybutylphosphine (TBP) and phosphine (PH3), for the organometallic vapor phase epitaxial growth, has been shown to result in the same degree of CuPt order in the epitaxial layers. However, the steps on the surface are mainly bilayers, approximately 5.8Å in height, for growth using TBP and mainly monolayers for growth using PH3. This indicates that the step structure plays no role in the ordering process occurring on the surface during growth. Examination of the spacing between these surface steps vs the input partial pressure of the P precursor indicates that neither the surface diffusion coefficient nor the sticking coefficients of group III adatoms at the step edge is dependent on the P precursor. This suggests that the step structure also has no effect on the sticking coefficient.

Growth of Order/Disorder Heterostructures in GaInP Using a Variation in V/III Ratio
Y.S. CHUN,1 Y. HSU,1 I.H. HO,1 T.C. HSU,1 H. MURATA,1 and G.B. STRINGFELLOW,1 J.H. KIM,2 and T.-Y. SEONG2
1--Departments of Materials Science and Engineering and Electrical Engineering, University of Utah, Salt Lake City, UT 84112. 2--Department of Materials Science and Engineering, Kwangju Institute of Science and Technology, Kwangju 506-303, Korea

KEY WORDS
GaInP, heterostructures, order, organometallic vapor phase epitaxy (OMVPE)
CuPt ordering, resulting in formation of a natural monolayer {111} superlattice, occurs spontaneously during organometallic vapor phase epitaxial growth of Ga0.52In0.48P. The degree of order is found to be a function of the input partial pressure of the phosphorus precursor (PP) during growth. This is thought to be mainly due to the effect of PP on the surface reconstruction. A change in order parameter is associated with a change in the bandgap energy. Thus, a practical application of ordering is the production of a heterostructure by simply changing the flow rate of the P precursor during growth. Examination of transmission electron microscopy data and photoluminescence spectra indicates that order/disorder (O/D) (really less ordered on more ordered) and D/O heterostructures formed by growth using PH3 at a temperature of 620°C are graded over several thousands of Å: The ordered structure from the lower layer persists into the upper layer. Similar results were obtained at 620°C when the first layer was grown using PH3 (V/III = 160) and the second using tertiarybutylphosphine (TBP) (V/III = 5). The use of a temperature of 670°C to produce heterostructures using either PH3 or TBP yields a totally different behavior. Abrupt D/O and O/D heterostructures can be produced by changing PP during the growth cycle. The cause of this difference in behavior is not entirely clear. However, it appears to be related to a very slow change in the surface reconstruction, measured using surface photo absorption, when the PH3 partial pressure is changed at 620°C.

MOVPE of ZnMgSSe Heterostructures for Optically Pumped Blue-Green Lasers
H. KALISCH,1 H. HAMADEH,1 J. MüLLER,1 G.P. YABLONSKII,2 A.L. GURSKII,2 J. WOITOK,3 J. XU,3 and M. HEUKEN1
1--Institut für Halbleitertechnik, RWTH Aachen, Templergraben 55, D-52056 Aachen, Germany. 2--Institute of Physics, Belarus Academy of Science, F. Skaryna pr. 68, 220072 Minsk, Belarus . 3--I. Physikalisches Institut, RWTH Aachen, Sommerfeldstr. 26, D-52056 Aachen, Germany

KEY WORDS
Metalorganic vapor phase epitaxy (MOVPE), optical pumping, SCH laser, ZnMgSSe
We report on the growth of ZnMgSSe/ZnSSe/ZnSe heterostructures in a low pressure metalorganic vapor phase epitaxy (MOVPE) system at 400 hPa and a growth temperature of 330°C. The precursor combination was dimethylzinc(triethylamine adduct), ditertiarybutylselenium, ditertiarybutylsulphur, and bismethylcyclopentadienylmagnesium. This combination allows the reproducible adjustment of the alloy composition in a wide range (currently up to 40% S and 32% Mg) maintaining high crystal homogeneity and almost lattice matched growth. Undoped separate confinement heterostructure (SCH) lasers with ZnMgSSe cladding and ZnSSe guiding layers were deposited on GaAs substrates. X-ray diffraction (reciprocal space mapping), photoluminescence (PL) at 14-300K, PL excitation, and optical pumping experiments were performed. The quantum wells show a high luminescence efficiency up to room temperature. Optical pumping experiments were carried out at various temperatures (77, 300-375K) and excitation densities using a nitrogen laser. The lasing threshold could be determined to be less than 20 kW/cm2 at 77K, and even room temperature lasing was observed at an excitation density which was below 200 kW/cm2.

The Morphology of CdTe Deposited by Organometallic Vapor Phase Epitaxy: The Effect of Substrate Misorientation
K. YONG, P.J. SIDES, and A.J. GELLMAN
Department of Chemical Engineering, Carnegie Mellon University, Pittsburgh, PA 15213

KEY WORDS
Cadmium telluride, facets, morphology, organometallic vapor phase epitaxy (OMVPE)
Substrate misorientation and growth temperature influence the morphology of CdTe epilayers grown by organometallic vapor phase homoepitaxy. These effects were investigated by using CdTe{100} and CdTe{100} misoriented by 2, 4, 6, and 8° toward <111>Te as substrates for growth in the temperature range from 337 to 425°C. Low angle pyramidal facets appeared on films grown on the CdTe(100) surface. The number density of these pyramidal facets decreased to zero as the substrate misorientation angle increased to 4°. At higher misorientation angles, low angle protrusions, resembling fish scales, appeared on the surface. When the temperature was increased, facet size decreased but facet density increased. The film morphology at the high misorientations, however, improved remarkably with increasing temperature. Cross-sectional transmission electron microscopy provided evidence that both the faceted CdTe films and films with a mirror-like finish were epitaxial single crystals with no planar defects. Schwoebel barriers are suggested as the reason for the faceting of the surface grown on CdTe{100}.


Direct questions about this or any other JEM page to (jem@tms.org).

Search Tables of Contents Subscription Information JEM Home Page TMS OnLine